ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'C:\\Users\\DidierR\\OneDrive - MANTIONSA\\Bureau\\A mettre sur site\\ 0251A.stp', /* time_stamp */ '2023-09-26T17:15:26+02:00', /* author */ ('Didierr'), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v18.1', /* originating_system */ 'Autodesk Inventor 2021', /* authorisation */ ''); FILE_SCHEMA (('CONFIG_CONTROL_DESIGN')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#121,#122, #123,#124,#125,#126,#127),#6042); #11=TOROIDAL_SURFACE('',#3867,18.,1.); #12=TOROIDAL_SURFACE('',#3877,18.5,1.); #13=TOROIDAL_SURFACE('',#3884,18.,1.); #14=TOROIDAL_SURFACE('',#3894,18.5,1.); #15=TOROIDAL_SURFACE('',#3918,20.5,1.49999999999999); #16=TOROIDAL_SURFACE('',#3923,18.,1.00000000000001); #17=TOROIDAL_SURFACE('',#3925,18.,1.); #18=TOROIDAL_SURFACE('',#3930,20.5,1.5); #19=ITEM_DEFINED_TRANSFORMATION($,$,#3683,#3847); #20=ITEM_DEFINED_TRANSFORMATION($,$,#3841,#3848); #21=ITEM_DEFINED_TRANSFORMATION($,$,#3841,#3849); #22=ITEM_DEFINED_TRANSFORMATION($,$,#3841,#3850); #23=ITEM_DEFINED_TRANSFORMATION($,$,#3841,#3851); #24=ITEM_DEFINED_TRANSFORMATION($,$,#3841,#3852); #25=ITEM_DEFINED_TRANSFORMATION($,$,#3841,#3853); #26=ITEM_DEFINED_TRANSFORMATION($,$,#3841,#3854); #27=ITEM_DEFINED_TRANSFORMATION($,$,#3841,#3855); #28=ITEM_DEFINED_TRANSFORMATION($,$,#3841,#3856); #29=ITEM_DEFINED_TRANSFORMATION($,$,#3526,#3937); #30=ITEM_DEFINED_TRANSFORMATION($,$,#3526,#3938); #31=ITEM_DEFINED_TRANSFORMATION($,$,#3587,#3939); #32=ITEM_DEFINED_TRANSFORMATION($,$,#3654,#3940); #33=ITEM_DEFINED_TRANSFORMATION($,$,#3654,#3941); #34=ITEM_DEFINED_TRANSFORMATION($,$,#3654,#3942); #35=ITEM_DEFINED_TRANSFORMATION($,$,#3682,#3943); #36=ITEM_DEFINED_TRANSFORMATION($,$,#3682,#3944); #37=ITEM_DEFINED_TRANSFORMATION($,$,#3857,#3945); #38=ITEM_DEFINED_TRANSFORMATION($,$,#3857,#3946); #39=ITEM_DEFINED_TRANSFORMATION($,$,#3866,#3947); #40=ITEM_DEFINED_TRANSFORMATION($,$,#3866,#3948); #41=( REPRESENTATION_RELATIONSHIP($,$,#6092,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#19) SHAPE_REPRESENTATION_RELATIONSHIP() ); #42=( REPRESENTATION_RELATIONSHIP($,$,#6093,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#20) SHAPE_REPRESENTATION_RELATIONSHIP() ); #43=( REPRESENTATION_RELATIONSHIP($,$,#6093,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#21) SHAPE_REPRESENTATION_RELATIONSHIP() ); #44=( REPRESENTATION_RELATIONSHIP($,$,#6093,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#22) SHAPE_REPRESENTATION_RELATIONSHIP() ); #45=( REPRESENTATION_RELATIONSHIP($,$,#6093,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#23) SHAPE_REPRESENTATION_RELATIONSHIP() ); #46=( REPRESENTATION_RELATIONSHIP($,$,#6093,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#24) SHAPE_REPRESENTATION_RELATIONSHIP() ); #47=( REPRESENTATION_RELATIONSHIP($,$,#6093,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25) SHAPE_REPRESENTATION_RELATIONSHIP() ); #48=( REPRESENTATION_RELATIONSHIP($,$,#6093,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#26) SHAPE_REPRESENTATION_RELATIONSHIP() ); #49=( REPRESENTATION_RELATIONSHIP($,$,#6093,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#27) SHAPE_REPRESENTATION_RELATIONSHIP() ); #50=( REPRESENTATION_RELATIONSHIP($,$,#6093,#6091) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#28) SHAPE_REPRESENTATION_RELATIONSHIP() ); #51=( REPRESENTATION_RELATIONSHIP($,$,#6088,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#29) SHAPE_REPRESENTATION_RELATIONSHIP() ); #52=( REPRESENTATION_RELATIONSHIP($,$,#6088,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#30) SHAPE_REPRESENTATION_RELATIONSHIP() ); #53=( REPRESENTATION_RELATIONSHIP($,$,#6089,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#31) SHAPE_REPRESENTATION_RELATIONSHIP() ); #54=( REPRESENTATION_RELATIONSHIP($,$,#6090,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#32) SHAPE_REPRESENTATION_RELATIONSHIP() ); #55=( REPRESENTATION_RELATIONSHIP($,$,#6090,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#33) SHAPE_REPRESENTATION_RELATIONSHIP() ); #56=( REPRESENTATION_RELATIONSHIP($,$,#6090,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#34) SHAPE_REPRESENTATION_RELATIONSHIP() ); #57=( REPRESENTATION_RELATIONSHIP($,$,#6091,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#35) SHAPE_REPRESENTATION_RELATIONSHIP() ); #58=( REPRESENTATION_RELATIONSHIP($,$,#6091,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#36) SHAPE_REPRESENTATION_RELATIONSHIP() ); #59=( REPRESENTATION_RELATIONSHIP($,$,#6094,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#37) SHAPE_REPRESENTATION_RELATIONSHIP() ); #60=( REPRESENTATION_RELATIONSHIP($,$,#6094,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#38) SHAPE_REPRESENTATION_RELATIONSHIP() ); #61=( REPRESENTATION_RELATIONSHIP($,$,#6095,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#39) SHAPE_REPRESENTATION_RELATIONSHIP() ); #62=( REPRESENTATION_RELATIONSHIP($,$,#6095,#6087) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#40) SHAPE_REPRESENTATION_RELATIONSHIP() ); #63=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#41,#6063); #64=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#42,#6064); #65=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#43,#6065); #66=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#44,#6066); #67=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#45,#6067); #68=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#46,#6068); #69=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#47,#6069); #70=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#48,#6070); #71=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#49,#6071); #72=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#50,#6072); #73=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#51,#6075); #74=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#52,#6076); #75=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#53,#6077); #76=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#54,#6078); #77=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#55,#6079); #78=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#56,#6080); #79=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#57,#6081); #80=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#58,#6082); #81=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#59,#6083); #82=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#60,#6084); #83=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#61,#6085); #84=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#62,#6086); #85=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15018:1','15018:1','15018:1',#6101, #6102,'15018:1'); #86=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15657:1','15657:1','15657:1',#6101, #6103,'15657:1'); #87=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15657:9','15657:9','15657:9',#6101, #6103,'15657:9'); #88=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15657:10','15657:10','15657:10',#6101, #6103,'15657:10'); #89=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15657:11','15657:11','15657:11',#6101, #6103,'15657:11'); #90=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15657:12','15657:12','15657:12',#6101, #6103,'15657:12'); #91=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15657:13','15657:13','15657:13',#6101, #6103,'15657:13'); #92=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15657:14','15657:14','15657:14',#6101, #6103,'15657:14'); #93=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15657:15','15657:15','15657:15',#6101, #6103,'15657:15'); #94=NEXT_ASSEMBLY_USAGE_OCCURRENCE('15657:16','15657:16','15657:16',#6101, #6103,'15657:16'); #95=NEXT_ASSEMBLY_USAGE_OCCURRENCE('14592:1','14592:1','14592:1',#6097, #6098,'14592:1'); #96=NEXT_ASSEMBLY_USAGE_OCCURRENCE('14592:2','14592:2','14592:2',#6097, #6098,'14592:2'); #97=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16207:1','16207:1','16207:1',#6097, #6099,'16207:1'); #98=NEXT_ASSEMBLY_USAGE_OCCURRENCE('11002 MONT:1','11002 MONT:1', '11002 MONT:1',#6097,#6100,'11002 MONT:1'); #99=NEXT_ASSEMBLY_USAGE_OCCURRENCE('11002 MONT:2','11002 MONT:2', '11002 MONT:2',#6097,#6100,'11002 MONT:2'); #100=NEXT_ASSEMBLY_USAGE_OCCURRENCE('11002 MONT:3','11002 MONT:3', '11002 MONT:3',#6097,#6100,'11002 MONT:3'); #101=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAG5:1','CAG5:1','CAG5:1',#6097,#6101, 'CAG5:1'); #102=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAG5:2','CAG5:2','CAG5:2',#6097,#6101, 'CAG5:2'); #103=NEXT_ASSEMBLY_USAGE_OCCURRENCE('05045:1','05045:1','05045:1',#6097, #6104,'05045:1'); #104=NEXT_ASSEMBLY_USAGE_OCCURRENCE('05045:2','05045:2','05045:2',#6097, #6104,'05045:2'); #105=NEXT_ASSEMBLY_USAGE_OCCURRENCE('AS606-A-NT:1','AS606-A-NT:1', 'AS606-A-NT:1',#6097,#6105,'AS606-A-NT:1'); #106=NEXT_ASSEMBLY_USAGE_OCCURRENCE('AS606-A-NT:2','AS606-A-NT:2', 'AS606-A-NT:2',#6097,#6105,'AS606-A-NT:2'); #107=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#6088,#114); #108=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#6089,#115); #109=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#6090,#116); #110=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#6092,#117); #111=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#6093,#118); #112=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#6094,#119); #113=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#6095,#120); #114=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#128),#6034); #115=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#129),#6035); #116=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#130),#6036); #117=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#131),#6038); #118=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#132),#6039); #119=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#133),#6040); #120=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#134),#6041); #121=STYLED_ITEM('',(#3408),#128); #122=STYLED_ITEM('',(#3409),#129); #123=STYLED_ITEM('',(#3410),#130); #124=STYLED_ITEM('',(#3411),#131); #125=STYLED_ITEM('',(#3412),#132); #126=STYLED_ITEM('',(#3413),#133); #127=STYLED_ITEM('',(#3414),#134); #128=MANIFOLD_SOLID_BREP('Solide1',#3323); #129=MANIFOLD_SOLID_BREP('Solide1',#3324); #130=MANIFOLD_SOLID_BREP('Solide1',#3325); #131=MANIFOLD_SOLID_BREP('Solide1',#3326); #132=MANIFOLD_SOLID_BREP('Solide1',#3327); #133=MANIFOLD_SOLID_BREP('Solide1',#3328); #134=MANIFOLD_SOLID_BREP('Solide1',#3329); #135=CONICAL_SURFACE('',#3570,4.45,0.785398163397451); #136=CONICAL_SURFACE('',#3655,6.5,0.78539816339745); #137=CONICAL_SURFACE('',#3664,6.5,0.785398163397446); #138=CONICAL_SURFACE('',#3896,12.575,0.785398163397446); #139=CONICAL_SURFACE('',#3905,12.575,0.785398163397448); #140=FACE_BOUND('',#449,.T.); #141=FACE_BOUND('',#451,.T.); #142=FACE_BOUND('',#452,.T.); #143=FACE_BOUND('',#453,.T.); #144=FACE_BOUND('',#454,.T.); #145=FACE_BOUND('',#458,.T.); #146=FACE_BOUND('',#459,.T.); #147=FACE_BOUND('',#460,.T.); #148=FACE_BOUND('',#468,.T.); #149=FACE_BOUND('',#474,.T.); #150=FACE_BOUND('',#476,.T.); #151=FACE_BOUND('',#481,.T.); #152=FACE_BOUND('',#482,.T.); #153=FACE_BOUND('',#483,.T.); #154=FACE_BOUND('',#484,.T.); #155=FACE_BOUND('',#485,.T.); #156=FACE_BOUND('',#510,.T.); #157=FACE_BOUND('',#511,.T.); #158=FACE_BOUND('',#512,.T.); #159=FACE_BOUND('',#513,.T.); #160=FACE_BOUND('',#514,.T.); #161=FACE_BOUND('',#518,.T.); #162=FACE_BOUND('',#521,.T.); #163=FACE_BOUND('',#525,.T.); #164=FACE_BOUND('',#529,.T.); #165=FACE_BOUND('',#534,.T.); #166=FACE_BOUND('',#536,.T.); #167=FACE_BOUND('',#594,.T.); #168=FACE_BOUND('',#596,.T.); #169=FACE_BOUND('',#602,.T.); #170=FACE_BOUND('',#605,.T.); #171=FACE_BOUND('',#608,.T.); #172=FACE_BOUND('',#614,.T.); #173=FACE_BOUND('',#620,.T.); #174=FACE_BOUND('',#623,.T.); #175=FACE_BOUND('',#627,.T.); #176=FACE_BOUND('',#631,.T.); #177=FACE_BOUND('',#635,.T.); #178=FACE_BOUND('',#639,.T.); #179=PLANE('',#3530); #180=PLANE('',#3540); #181=PLANE('',#3547); #182=PLANE('',#3548); #183=PLANE('',#3549); #184=PLANE('',#3550); #185=PLANE('',#3551); #186=PLANE('',#3552); #187=PLANE('',#3553); #188=PLANE('',#3554); #189=PLANE('',#3561); #190=PLANE('',#3562); #191=PLANE('',#3563); #192=PLANE('',#3564); #193=PLANE('',#3575); #194=PLANE('',#3582); #195=PLANE('',#3584); #196=PLANE('',#3586); #197=PLANE('',#3588); #198=PLANE('',#3589); #199=PLANE('',#3590); #200=PLANE('',#3594); #201=PLANE('',#3598); #202=PLANE('',#3605); #203=PLANE('',#3609); #204=PLANE('',#3622); #205=PLANE('',#3625); #206=PLANE('',#3628); #207=PLANE('',#3629); #208=PLANE('',#3631); #209=PLANE('',#3643); #210=PLANE('',#3644); #211=PLANE('',#3646); #212=PLANE('',#3647); #213=PLANE('',#3650); #214=PLANE('',#3653); #215=PLANE('',#3662); #216=PLANE('',#3666); #217=PLANE('',#3668); #218=PLANE('',#3672); #219=PLANE('',#3677); #220=PLANE('',#3681); #221=PLANE('',#3687); #222=PLANE('',#3689); #223=PLANE('',#3767); #224=PLANE('',#3772); #225=PLANE('',#3779); #226=PLANE('',#3782); #227=PLANE('',#3787); #228=PLANE('',#3790); #229=PLANE('',#3795); #230=PLANE('',#3798); #231=PLANE('',#3803); #232=PLANE('',#3806); #233=PLANE('',#3809); #234=PLANE('',#3814); #235=PLANE('',#3819); #236=PLANE('',#3822); #237=PLANE('',#3825); #238=PLANE('',#3830); #239=PLANE('',#3833); #240=PLANE('',#3836); #241=PLANE('',#3839); #242=PLANE('',#3840); #243=PLANE('',#3842); #244=PLANE('',#3846); #245=PLANE('',#3861); #246=PLANE('',#3865); #247=PLANE('',#3872); #248=PLANE('',#3889); #249=PLANE('',#3903); #250=PLANE('',#3907); #251=PLANE('',#3913); #252=PLANE('',#3921); #253=PLANE('',#3928); #254=PLANE('',#3936); #255=FACE_OUTER_BOUND('',#428,.T.); #256=FACE_OUTER_BOUND('',#429,.T.); #257=FACE_OUTER_BOUND('',#430,.T.); #258=FACE_OUTER_BOUND('',#431,.T.); #259=FACE_OUTER_BOUND('',#432,.T.); #260=FACE_OUTER_BOUND('',#433,.T.); #261=FACE_OUTER_BOUND('',#434,.T.); #262=FACE_OUTER_BOUND('',#435,.T.); #263=FACE_OUTER_BOUND('',#436,.T.); #264=FACE_OUTER_BOUND('',#437,.T.); #265=FACE_OUTER_BOUND('',#438,.T.); #266=FACE_OUTER_BOUND('',#439,.T.); #267=FACE_OUTER_BOUND('',#440,.T.); #268=FACE_OUTER_BOUND('',#441,.T.); #269=FACE_OUTER_BOUND('',#442,.T.); #270=FACE_OUTER_BOUND('',#443,.T.); #271=FACE_OUTER_BOUND('',#444,.T.); #272=FACE_OUTER_BOUND('',#445,.T.); #273=FACE_OUTER_BOUND('',#446,.T.); #274=FACE_OUTER_BOUND('',#447,.T.); #275=FACE_OUTER_BOUND('',#448,.T.); #276=FACE_OUTER_BOUND('',#450,.T.); #277=FACE_OUTER_BOUND('',#455,.T.); #278=FACE_OUTER_BOUND('',#456,.T.); #279=FACE_OUTER_BOUND('',#457,.T.); #280=FACE_OUTER_BOUND('',#461,.T.); #281=FACE_OUTER_BOUND('',#462,.T.); #282=FACE_OUTER_BOUND('',#463,.T.); #283=FACE_OUTER_BOUND('',#464,.T.); #284=FACE_OUTER_BOUND('',#465,.T.); #285=FACE_OUTER_BOUND('',#466,.T.); #286=FACE_OUTER_BOUND('',#467,.T.); #287=FACE_OUTER_BOUND('',#469,.T.); #288=FACE_OUTER_BOUND('',#470,.T.); #289=FACE_OUTER_BOUND('',#471,.T.); #290=FACE_OUTER_BOUND('',#472,.T.); #291=FACE_OUTER_BOUND('',#473,.T.); #292=FACE_OUTER_BOUND('',#475,.T.); #293=FACE_OUTER_BOUND('',#477,.T.); #294=FACE_OUTER_BOUND('',#478,.T.); #295=FACE_OUTER_BOUND('',#479,.T.); #296=FACE_OUTER_BOUND('',#480,.T.); #297=FACE_OUTER_BOUND('',#486,.T.); #298=FACE_OUTER_BOUND('',#487,.T.); #299=FACE_OUTER_BOUND('',#488,.T.); #300=FACE_OUTER_BOUND('',#489,.T.); #301=FACE_OUTER_BOUND('',#490,.T.); #302=FACE_OUTER_BOUND('',#491,.T.); #303=FACE_OUTER_BOUND('',#492,.T.); #304=FACE_OUTER_BOUND('',#493,.T.); #305=FACE_OUTER_BOUND('',#494,.T.); #306=FACE_OUTER_BOUND('',#495,.T.); #307=FACE_OUTER_BOUND('',#496,.T.); #308=FACE_OUTER_BOUND('',#497,.T.); #309=FACE_OUTER_BOUND('',#498,.T.); #310=FACE_OUTER_BOUND('',#499,.T.); #311=FACE_OUTER_BOUND('',#500,.T.); #312=FACE_OUTER_BOUND('',#501,.T.); #313=FACE_OUTER_BOUND('',#502,.T.); #314=FACE_OUTER_BOUND('',#503,.T.); #315=FACE_OUTER_BOUND('',#504,.T.); #316=FACE_OUTER_BOUND('',#505,.T.); #317=FACE_OUTER_BOUND('',#506,.T.); #318=FACE_OUTER_BOUND('',#507,.T.); #319=FACE_OUTER_BOUND('',#508,.T.); #320=FACE_OUTER_BOUND('',#509,.T.); #321=FACE_OUTER_BOUND('',#515,.T.); #322=FACE_OUTER_BOUND('',#516,.T.); #323=FACE_OUTER_BOUND('',#517,.T.); #324=FACE_OUTER_BOUND('',#519,.T.); #325=FACE_OUTER_BOUND('',#520,.T.); #326=FACE_OUTER_BOUND('',#522,.T.); #327=FACE_OUTER_BOUND('',#523,.T.); #328=FACE_OUTER_BOUND('',#524,.T.); #329=FACE_OUTER_BOUND('',#526,.T.); #330=FACE_OUTER_BOUND('',#527,.T.); #331=FACE_OUTER_BOUND('',#528,.T.); #332=FACE_OUTER_BOUND('',#530,.T.); #333=FACE_OUTER_BOUND('',#531,.T.); #334=FACE_OUTER_BOUND('',#532,.T.); #335=FACE_OUTER_BOUND('',#533,.T.); #336=FACE_OUTER_BOUND('',#535,.T.); #337=FACE_OUTER_BOUND('',#537,.T.); #338=FACE_OUTER_BOUND('',#538,.T.); #339=FACE_OUTER_BOUND('',#539,.T.); #340=FACE_OUTER_BOUND('',#540,.T.); #341=FACE_OUTER_BOUND('',#541,.T.); #342=FACE_OUTER_BOUND('',#542,.T.); #343=FACE_OUTER_BOUND('',#543,.T.); #344=FACE_OUTER_BOUND('',#544,.T.); #345=FACE_OUTER_BOUND('',#545,.T.); #346=FACE_OUTER_BOUND('',#546,.T.); #347=FACE_OUTER_BOUND('',#547,.T.); #348=FACE_OUTER_BOUND('',#548,.T.); #349=FACE_OUTER_BOUND('',#549,.T.); #350=FACE_OUTER_BOUND('',#550,.T.); #351=FACE_OUTER_BOUND('',#551,.T.); #352=FACE_OUTER_BOUND('',#552,.T.); #353=FACE_OUTER_BOUND('',#553,.T.); #354=FACE_OUTER_BOUND('',#554,.T.); #355=FACE_OUTER_BOUND('',#555,.T.); #356=FACE_OUTER_BOUND('',#556,.T.); #357=FACE_OUTER_BOUND('',#557,.T.); #358=FACE_OUTER_BOUND('',#558,.T.); #359=FACE_OUTER_BOUND('',#559,.T.); #360=FACE_OUTER_BOUND('',#560,.T.); #361=FACE_OUTER_BOUND('',#561,.T.); #362=FACE_OUTER_BOUND('',#562,.T.); #363=FACE_OUTER_BOUND('',#563,.T.); #364=FACE_OUTER_BOUND('',#564,.T.); #365=FACE_OUTER_BOUND('',#565,.T.); #366=FACE_OUTER_BOUND('',#566,.T.); #367=FACE_OUTER_BOUND('',#567,.T.); #368=FACE_OUTER_BOUND('',#568,.T.); #369=FACE_OUTER_BOUND('',#569,.T.); #370=FACE_OUTER_BOUND('',#570,.T.); #371=FACE_OUTER_BOUND('',#571,.T.); #372=FACE_OUTER_BOUND('',#572,.T.); #373=FACE_OUTER_BOUND('',#573,.T.); #374=FACE_OUTER_BOUND('',#574,.T.); #375=FACE_OUTER_BOUND('',#575,.T.); #376=FACE_OUTER_BOUND('',#576,.T.); #377=FACE_OUTER_BOUND('',#577,.T.); #378=FACE_OUTER_BOUND('',#578,.T.); #379=FACE_OUTER_BOUND('',#579,.T.); #380=FACE_OUTER_BOUND('',#580,.T.); #381=FACE_OUTER_BOUND('',#581,.T.); #382=FACE_OUTER_BOUND('',#582,.T.); #383=FACE_OUTER_BOUND('',#583,.T.); #384=FACE_OUTER_BOUND('',#584,.T.); #385=FACE_OUTER_BOUND('',#585,.T.); #386=FACE_OUTER_BOUND('',#586,.T.); #387=FACE_OUTER_BOUND('',#587,.T.); #388=FACE_OUTER_BOUND('',#588,.T.); #389=FACE_OUTER_BOUND('',#589,.T.); #390=FACE_OUTER_BOUND('',#590,.T.); #391=FACE_OUTER_BOUND('',#591,.T.); #392=FACE_OUTER_BOUND('',#592,.T.); #393=FACE_OUTER_BOUND('',#593,.T.); #394=FACE_OUTER_BOUND('',#595,.T.); #395=FACE_OUTER_BOUND('',#597,.T.); #396=FACE_OUTER_BOUND('',#598,.T.); #397=FACE_OUTER_BOUND('',#599,.T.); #398=FACE_OUTER_BOUND('',#600,.T.); #399=FACE_OUTER_BOUND('',#601,.T.); #400=FACE_OUTER_BOUND('',#603,.T.); #401=FACE_OUTER_BOUND('',#604,.T.); #402=FACE_OUTER_BOUND('',#606,.T.); #403=FACE_OUTER_BOUND('',#607,.T.); #404=FACE_OUTER_BOUND('',#609,.T.); #405=FACE_OUTER_BOUND('',#610,.T.); #406=FACE_OUTER_BOUND('',#611,.T.); #407=FACE_OUTER_BOUND('',#612,.T.); #408=FACE_OUTER_BOUND('',#613,.T.); #409=FACE_OUTER_BOUND('',#615,.T.); #410=FACE_OUTER_BOUND('',#616,.T.); #411=FACE_OUTER_BOUND('',#617,.T.); #412=FACE_OUTER_BOUND('',#618,.T.); #413=FACE_OUTER_BOUND('',#619,.T.); #414=FACE_OUTER_BOUND('',#621,.T.); #415=FACE_OUTER_BOUND('',#622,.T.); #416=FACE_OUTER_BOUND('',#624,.T.); #417=FACE_OUTER_BOUND('',#625,.T.); #418=FACE_OUTER_BOUND('',#626,.T.); #419=FACE_OUTER_BOUND('',#628,.T.); #420=FACE_OUTER_BOUND('',#629,.T.); #421=FACE_OUTER_BOUND('',#630,.T.); #422=FACE_OUTER_BOUND('',#632,.T.); #423=FACE_OUTER_BOUND('',#633,.T.); #424=FACE_OUTER_BOUND('',#634,.T.); #425=FACE_OUTER_BOUND('',#636,.T.); #426=FACE_OUTER_BOUND('',#637,.T.); #427=FACE_OUTER_BOUND('',#638,.T.); #428=EDGE_LOOP('',(#2130,#2131,#2132,#2133)); #429=EDGE_LOOP('',(#2134)); #430=EDGE_LOOP('',(#2135,#2136,#2137,#2138)); #431=EDGE_LOOP('',(#2139,#2140,#2141,#2142)); #432=EDGE_LOOP('',(#2143,#2144,#2145,#2146)); #433=EDGE_LOOP('',(#2147,#2148,#2149,#2150)); #434=EDGE_LOOP('',(#2151,#2152,#2153,#2154)); #435=EDGE_LOOP('',(#2155,#2156,#2157,#2158)); #436=EDGE_LOOP('',(#2159,#2160,#2161,#2162)); #437=EDGE_LOOP('',(#2163,#2164,#2165,#2166)); #438=EDGE_LOOP('',(#2167,#2168,#2169,#2170)); #439=EDGE_LOOP('',(#2171,#2172,#2173,#2174)); #440=EDGE_LOOP('',(#2175,#2176,#2177,#2178)); #441=EDGE_LOOP('',(#2179,#2180,#2181,#2182)); #442=EDGE_LOOP('',(#2183,#2184,#2185,#2186)); #443=EDGE_LOOP('',(#2187,#2188,#2189,#2190)); #444=EDGE_LOOP('',(#2191,#2192,#2193,#2194)); #445=EDGE_LOOP('',(#2195,#2196,#2197,#2198)); #446=EDGE_LOOP('',(#2199,#2200,#2201,#2202)); #447=EDGE_LOOP('',(#2203,#2204,#2205,#2206)); #448=EDGE_LOOP('',(#2207,#2208,#2209,#2210,#2211,#2212,#2213)); #449=EDGE_LOOP('',(#2214,#2215,#2216,#2217,#2218,#2219,#2220,#2221,#2222, #2223,#2224)); #450=EDGE_LOOP('',(#2225,#2226,#2227,#2228)); #451=EDGE_LOOP('',(#2229)); #452=EDGE_LOOP('',(#2230)); #453=EDGE_LOOP('',(#2231)); #454=EDGE_LOOP('',(#2232,#2233,#2234,#2235,#2236,#2237,#2238)); #455=EDGE_LOOP('',(#2239,#2240,#2241,#2242,#2243)); #456=EDGE_LOOP('',(#2244,#2245,#2246,#2247,#2248)); #457=EDGE_LOOP('',(#2249,#2250,#2251,#2252)); #458=EDGE_LOOP('',(#2253)); #459=EDGE_LOOP('',(#2254)); #460=EDGE_LOOP('',(#2255)); #461=EDGE_LOOP('',(#2256,#2257,#2258,#2259)); #462=EDGE_LOOP('',(#2260,#2261,#2262,#2263)); #463=EDGE_LOOP('',(#2264,#2265,#2266,#2267)); #464=EDGE_LOOP('',(#2268,#2269,#2270,#2271)); #465=EDGE_LOOP('',(#2272,#2273,#2274,#2275)); #466=EDGE_LOOP('',(#2276,#2277,#2278,#2279)); #467=EDGE_LOOP('',(#2280,#2281,#2282,#2283,#2284,#2285,#2286,#2287,#2288, #2289,#2290)); #468=EDGE_LOOP('',(#2291)); #469=EDGE_LOOP('',(#2292,#2293,#2294,#2295,#2296,#2297)); #470=EDGE_LOOP('',(#2298,#2299,#2300,#2301)); #471=EDGE_LOOP('',(#2302,#2303,#2304,#2305)); #472=EDGE_LOOP('',(#2306,#2307,#2308,#2309)); #473=EDGE_LOOP('',(#2310,#2311,#2312,#2313,#2314,#2315,#2316,#2317,#2318, #2319)); #474=EDGE_LOOP('',(#2320)); #475=EDGE_LOOP('',(#2321,#2322,#2323,#2324,#2325,#2326,#2327,#2328,#2329, #2330)); #476=EDGE_LOOP('',(#2331)); #477=EDGE_LOOP('',(#2332,#2333,#2334,#2335)); #478=EDGE_LOOP('',(#2336,#2337,#2338,#2339)); #479=EDGE_LOOP('',(#2340,#2341,#2342,#2343)); #480=EDGE_LOOP('',(#2344,#2345,#2346,#2347,#2348,#2349,#2350,#2351,#2352, #2353)); #481=EDGE_LOOP('',(#2354)); #482=EDGE_LOOP('',(#2355)); #483=EDGE_LOOP('',(#2356)); #484=EDGE_LOOP('',(#2357)); #485=EDGE_LOOP('',(#2358)); #486=EDGE_LOOP('',(#2359,#2360,#2361,#2362)); #487=EDGE_LOOP('',(#2363,#2364,#2365,#2366)); #488=EDGE_LOOP('',(#2367,#2368,#2369,#2370)); #489=EDGE_LOOP('',(#2371,#2372,#2373,#2374)); #490=EDGE_LOOP('',(#2375,#2376,#2377,#2378)); #491=EDGE_LOOP('',(#2379,#2380,#2381,#2382,#2383,#2384,#2385,#2386,#2387, #2388,#2389,#2390)); #492=EDGE_LOOP('',(#2391,#2392,#2393,#2394)); #493=EDGE_LOOP('',(#2395,#2396,#2397,#2398)); #494=EDGE_LOOP('',(#2399,#2400,#2401,#2402,#2403,#2404)); #495=EDGE_LOOP('',(#2405,#2406,#2407,#2408)); #496=EDGE_LOOP('',(#2409,#2410,#2411,#2412)); #497=EDGE_LOOP('',(#2413,#2414,#2415,#2416)); #498=EDGE_LOOP('',(#2417,#2418,#2419,#2420)); #499=EDGE_LOOP('',(#2421,#2422,#2423,#2424)); #500=EDGE_LOOP('',(#2425,#2426,#2427,#2428)); #501=EDGE_LOOP('',(#2429,#2430,#2431,#2432)); #502=EDGE_LOOP('',(#2433,#2434,#2435,#2436)); #503=EDGE_LOOP('',(#2437,#2438,#2439,#2440)); #504=EDGE_LOOP('',(#2441,#2442,#2443,#2444)); #505=EDGE_LOOP('',(#2445,#2446,#2447,#2448,#2449,#2450,#2451,#2452,#2453, #2454,#2455,#2456)); #506=EDGE_LOOP('',(#2457,#2458,#2459,#2460)); #507=EDGE_LOOP('',(#2461,#2462,#2463,#2464)); #508=EDGE_LOOP('',(#2465,#2466,#2467,#2468)); #509=EDGE_LOOP('',(#2469,#2470,#2471,#2472,#2473,#2474,#2475,#2476,#2477, #2478)); #510=EDGE_LOOP('',(#2479)); #511=EDGE_LOOP('',(#2480)); #512=EDGE_LOOP('',(#2481)); #513=EDGE_LOOP('',(#2482)); #514=EDGE_LOOP('',(#2483)); #515=EDGE_LOOP('',(#2484,#2485,#2486,#2487,#2488)); #516=EDGE_LOOP('',(#2489,#2490,#2491,#2492,#2493,#2494)); #517=EDGE_LOOP('',(#2495)); #518=EDGE_LOOP('',(#2496)); #519=EDGE_LOOP('',(#2497,#2498,#2499,#2500,#2501)); #520=EDGE_LOOP('',(#2502)); #521=EDGE_LOOP('',(#2503)); #522=EDGE_LOOP('',(#2504)); #523=EDGE_LOOP('',(#2505,#2506,#2507,#2508)); #524=EDGE_LOOP('',(#2509)); #525=EDGE_LOOP('',(#2510)); #526=EDGE_LOOP('',(#2511,#2512,#2513,#2514)); #527=EDGE_LOOP('',(#2515,#2516,#2517,#2518)); #528=EDGE_LOOP('',(#2519)); #529=EDGE_LOOP('',(#2520)); #530=EDGE_LOOP('',(#2521,#2522,#2523,#2524)); #531=EDGE_LOOP('',(#2525)); #532=EDGE_LOOP('',(#2526,#2527,#2528,#2529)); #533=EDGE_LOOP('',(#2530)); #534=EDGE_LOOP('',(#2531)); #535=EDGE_LOOP('',(#2532)); #536=EDGE_LOOP('',(#2533,#2534,#2535,#2536,#2537,#2538,#2539,#2540,#2541, #2542,#2543,#2544,#2545,#2546,#2547,#2548,#2549,#2550,#2551,#2552,#2553, #2554,#2555,#2556,#2557,#2558,#2559,#2560,#2561,#2562,#2563,#2564,#2565, #2566,#2567,#2568,#2569,#2570,#2571,#2572,#2573,#2574,#2575,#2576,#2577, #2578,#2579,#2580,#2581,#2582,#2583,#2584,#2585,#2586,#2587)); #537=EDGE_LOOP('',(#2588,#2589,#2590,#2591,#2592,#2593,#2594,#2595,#2596, #2597,#2598,#2599,#2600,#2601,#2602,#2603,#2604,#2605,#2606,#2607,#2608, #2609,#2610,#2611,#2612,#2613,#2614,#2615,#2616,#2617,#2618,#2619,#2620, #2621,#2622,#2623,#2624,#2625,#2626,#2627)); #538=EDGE_LOOP('',(#2628,#2629,#2630,#2631)); #539=EDGE_LOOP('',(#2632,#2633,#2634,#2635)); #540=EDGE_LOOP('',(#2636,#2637,#2638,#2639)); #541=EDGE_LOOP('',(#2640,#2641,#2642,#2643)); #542=EDGE_LOOP('',(#2644,#2645,#2646,#2647)); #543=EDGE_LOOP('',(#2648,#2649,#2650,#2651)); #544=EDGE_LOOP('',(#2652,#2653,#2654,#2655)); #545=EDGE_LOOP('',(#2656,#2657,#2658,#2659)); #546=EDGE_LOOP('',(#2660,#2661,#2662,#2663)); #547=EDGE_LOOP('',(#2664,#2665,#2666,#2667)); #548=EDGE_LOOP('',(#2668,#2669,#2670,#2671)); #549=EDGE_LOOP('',(#2672,#2673,#2674,#2675,#2676)); #550=EDGE_LOOP('',(#2677,#2678,#2679,#2680,#2681)); #551=EDGE_LOOP('',(#2682,#2683,#2684,#2685)); #552=EDGE_LOOP('',(#2686,#2687,#2688,#2689)); #553=EDGE_LOOP('',(#2690,#2691,#2692,#2693,#2694)); #554=EDGE_LOOP('',(#2695,#2696,#2697,#2698,#2699)); #555=EDGE_LOOP('',(#2700,#2701,#2702,#2703)); #556=EDGE_LOOP('',(#2704,#2705,#2706,#2707)); #557=EDGE_LOOP('',(#2708,#2709,#2710,#2711)); #558=EDGE_LOOP('',(#2712,#2713,#2714,#2715,#2716)); #559=EDGE_LOOP('',(#2717,#2718,#2719,#2720,#2721)); #560=EDGE_LOOP('',(#2722,#2723,#2724,#2725)); #561=EDGE_LOOP('',(#2726,#2727,#2728,#2729)); #562=EDGE_LOOP('',(#2730,#2731,#2732,#2733)); #563=EDGE_LOOP('',(#2734,#2735,#2736,#2737,#2738)); #564=EDGE_LOOP('',(#2739,#2740,#2741,#2742,#2743)); #565=EDGE_LOOP('',(#2744,#2745,#2746,#2747)); #566=EDGE_LOOP('',(#2748,#2749,#2750,#2751)); #567=EDGE_LOOP('',(#2752,#2753,#2754,#2755)); #568=EDGE_LOOP('',(#2756,#2757,#2758,#2759,#2760)); #569=EDGE_LOOP('',(#2761,#2762,#2763,#2764,#2765)); #570=EDGE_LOOP('',(#2766,#2767,#2768,#2769)); #571=EDGE_LOOP('',(#2770,#2771,#2772,#2773)); #572=EDGE_LOOP('',(#2774,#2775,#2776,#2777)); #573=EDGE_LOOP('',(#2778,#2779,#2780,#2781)); #574=EDGE_LOOP('',(#2782,#2783,#2784,#2785)); #575=EDGE_LOOP('',(#2786,#2787,#2788,#2789,#2790)); #576=EDGE_LOOP('',(#2791,#2792,#2793,#2794,#2795)); #577=EDGE_LOOP('',(#2796,#2797,#2798,#2799)); #578=EDGE_LOOP('',(#2800,#2801,#2802,#2803,#2804)); #579=EDGE_LOOP('',(#2805,#2806,#2807,#2808,#2809)); #580=EDGE_LOOP('',(#2810,#2811,#2812,#2813)); #581=EDGE_LOOP('',(#2814,#2815,#2816,#2817)); #582=EDGE_LOOP('',(#2818,#2819,#2820,#2821)); #583=EDGE_LOOP('',(#2822,#2823,#2824,#2825)); #584=EDGE_LOOP('',(#2826,#2827,#2828,#2829)); #585=EDGE_LOOP('',(#2830,#2831,#2832,#2833,#2834)); #586=EDGE_LOOP('',(#2835,#2836,#2837,#2838,#2839)); #587=EDGE_LOOP('',(#2840,#2841,#2842,#2843)); #588=EDGE_LOOP('',(#2844,#2845,#2846,#2847,#2848)); #589=EDGE_LOOP('',(#2849,#2850,#2851,#2852)); #590=EDGE_LOOP('',(#2853,#2854,#2855,#2856)); #591=EDGE_LOOP('',(#2857,#2858,#2859,#2860)); #592=EDGE_LOOP('',(#2861,#2862,#2863,#2864,#2865)); #593=EDGE_LOOP('',(#2866,#2867,#2868,#2869,#2870,#2871,#2872,#2873,#2874, #2875,#2876,#2877,#2878,#2879,#2880,#2881,#2882,#2883,#2884,#2885,#2886, #2887,#2888,#2889,#2890,#2891,#2892,#2893,#2894,#2895,#2896,#2897,#2898, #2899,#2900,#2901,#2902,#2903,#2904,#2905,#2906,#2907,#2908,#2909,#2910, #2911,#2912,#2913,#2914,#2915,#2916,#2917,#2918,#2919)); #594=EDGE_LOOP('',(#2920)); #595=EDGE_LOOP('',(#2921,#2922,#2923,#2924,#2925,#2926,#2927,#2928,#2929, #2930,#2931,#2932,#2933,#2934,#2935,#2936,#2937,#2938)); #596=EDGE_LOOP('',(#2939)); #597=EDGE_LOOP('',(#2940)); #598=EDGE_LOOP('',(#2941,#2942,#2943,#2944)); #599=EDGE_LOOP('',(#2945)); #600=EDGE_LOOP('',(#2946,#2947,#2948,#2949)); #601=EDGE_LOOP('',(#2950)); #602=EDGE_LOOP('',(#2951)); #603=EDGE_LOOP('',(#2952,#2953,#2954,#2955)); #604=EDGE_LOOP('',(#2956)); #605=EDGE_LOOP('',(#2957)); #606=EDGE_LOOP('',(#2958,#2959,#2960,#2961,#2962)); #607=EDGE_LOOP('',(#2963)); #608=EDGE_LOOP('',(#2964)); #609=EDGE_LOOP('',(#2965,#2966,#2967,#2968,#2969,#2970)); #610=EDGE_LOOP('',(#2971,#2972,#2973,#2974,#2975)); #611=EDGE_LOOP('',(#2976,#2977,#2978,#2979,#2980,#2981)); #612=EDGE_LOOP('',(#2982,#2983,#2984,#2985,#2986)); #613=EDGE_LOOP('',(#2987)); #614=EDGE_LOOP('',(#2988)); #615=EDGE_LOOP('',(#2989,#2990,#2991,#2992,#2993,#2994)); #616=EDGE_LOOP('',(#2995,#2996,#2997,#2998,#2999)); #617=EDGE_LOOP('',(#3000,#3001,#3002,#3003,#3004)); #618=EDGE_LOOP('',(#3005,#3006,#3007,#3008,#3009,#3010)); #619=EDGE_LOOP('',(#3011)); #620=EDGE_LOOP('',(#3012)); #621=EDGE_LOOP('',(#3013,#3014,#3015,#3016,#3017)); #622=EDGE_LOOP('',(#3018)); #623=EDGE_LOOP('',(#3019)); #624=EDGE_LOOP('',(#3020,#3021,#3022,#3023)); #625=EDGE_LOOP('',(#3024,#3025,#3026,#3027)); #626=EDGE_LOOP('',(#3028)); #627=EDGE_LOOP('',(#3029)); #628=EDGE_LOOP('',(#3030,#3031,#3032,#3033,#3034)); #629=EDGE_LOOP('',(#3035,#3036,#3037,#3038,#3039)); #630=EDGE_LOOP('',(#3040)); #631=EDGE_LOOP('',(#3041)); #632=EDGE_LOOP('',(#3042,#3043,#3044,#3045,#3046)); #633=EDGE_LOOP('',(#3047,#3048,#3049,#3050,#3051)); #634=EDGE_LOOP('',(#3052)); #635=EDGE_LOOP('',(#3053)); #636=EDGE_LOOP('',(#3054,#3055,#3056,#3057,#3058)); #637=EDGE_LOOP('',(#3059,#3060,#3061,#3062,#3063)); #638=EDGE_LOOP('',(#3064)); #639=EDGE_LOOP('',(#3065)); #640=LINE('',#5051,#888); #641=LINE('',#5059,#889); #642=LINE('',#5062,#890); #643=LINE('',#5068,#891); #644=LINE('',#5074,#892); #645=LINE('',#5077,#893); #646=LINE('',#5079,#894); #647=LINE('',#5080,#895); #648=LINE('',#5086,#896); #649=LINE('',#5092,#897); #650=LINE('',#5095,#898); #651=LINE('',#5097,#899); #652=LINE('',#5098,#900); #653=LINE('',#5101,#901); #654=LINE('',#5103,#902); #655=LINE('',#5104,#903); #656=LINE('',#5107,#904); #657=LINE('',#5109,#905); #658=LINE('',#5110,#906); #659=LINE('',#5113,#907); #660=LINE('',#5115,#908); #661=LINE('',#5116,#909); #662=LINE('',#5118,#910); #663=LINE('',#5119,#911); #664=LINE('',#5123,#912); #665=LINE('',#5125,#913); #666=LINE('',#5127,#914); #667=LINE('',#5128,#915); #668=LINE('',#5131,#916); #669=LINE('',#5133,#917); #670=LINE('',#5134,#918); #671=LINE('',#5137,#919); #672=LINE('',#5139,#920); #673=LINE('',#5140,#921); #674=LINE('',#5145,#922); #675=LINE('',#5151,#923); #676=LINE('',#5155,#924); #677=LINE('',#5157,#925); #678=LINE('',#5158,#926); #679=LINE('',#5160,#927); #680=LINE('',#5161,#928); #681=LINE('',#5168,#929); #682=LINE('',#5171,#930); #683=LINE('',#5182,#931); #684=LINE('',#5187,#932); #685=LINE('',#5191,#933); #686=LINE('',#5195,#934); #687=LINE('',#5202,#935); #688=LINE('',#5204,#936); #689=LINE('',#5206,#937); #690=LINE('',#5207,#938); #691=LINE('',#5209,#939); #692=LINE('',#5211,#940); #693=LINE('',#5218,#941); #694=LINE('',#5220,#942); #695=LINE('',#5222,#943); #696=LINE('',#5224,#944); #697=LINE('',#5226,#945); #698=LINE('',#5227,#946); #699=LINE('',#5230,#947); #700=LINE('',#5232,#948); #701=LINE('',#5233,#949); #702=LINE('',#5237,#950); #703=LINE('',#5239,#951); #704=LINE('',#5241,#952); #705=LINE('',#5242,#953); #706=LINE('',#5248,#954); #707=LINE('',#5251,#955); #708=LINE('',#5253,#956); #709=LINE('',#5255,#957); #710=LINE('',#5258,#958); #711=LINE('',#5260,#959); #712=LINE('',#5263,#960); #713=LINE('',#5270,#961); #714=LINE('',#5272,#962); #715=LINE('',#5274,#963); #716=LINE('',#5276,#964); #717=LINE('',#5278,#965); #718=LINE('',#5281,#966); #719=LINE('',#5288,#967); #720=LINE('',#5292,#968); #721=LINE('',#5294,#969); #722=LINE('',#5295,#970); #723=LINE('',#5300,#971); #724=LINE('',#5304,#972); #725=LINE('',#5308,#973); #726=LINE('',#5312,#974); #727=LINE('',#5316,#975); #728=LINE('',#5319,#976); #729=LINE('',#5334,#977); #730=LINE('',#5338,#978); #731=LINE('',#5339,#979); #732=LINE('',#5342,#980); #733=LINE('',#5345,#981); #734=LINE('',#5348,#982); #735=LINE('',#5349,#983); #736=LINE('',#5352,#984); #737=LINE('',#5355,#985); #738=LINE('',#5356,#986); #739=LINE('',#5358,#987); #740=LINE('',#5360,#988); #741=LINE('',#5362,#989); #742=LINE('',#5364,#990); #743=LINE('',#5367,#991); #744=LINE('',#5371,#992); #745=LINE('',#5375,#993); #746=LINE('',#5379,#994); #747=LINE('',#5383,#995); #748=LINE('',#5387,#996); #749=LINE('',#5388,#997); #750=LINE('',#5391,#998); #751=LINE('',#5393,#999); #752=LINE('',#5396,#1000); #753=LINE('',#5397,#1001); #754=LINE('',#5401,#1002); #755=LINE('',#5404,#1003); #756=LINE('',#5405,#1004); #757=LINE('',#5416,#1005); #758=LINE('',#5423,#1006); #759=LINE('',#5430,#1007); #760=LINE('',#5440,#1008); #761=LINE('',#5445,#1009); #762=LINE('',#5449,#1010); #763=LINE('',#5456,#1011); #764=LINE('',#5464,#1012); #765=LINE('',#5478,#1013); #766=LINE('',#5482,#1014); #767=LINE('',#5490,#1015); #768=LINE('',#5494,#1016); #769=LINE('',#5502,#1017); #770=LINE('',#5506,#1018); #771=LINE('',#5514,#1019); #772=LINE('',#5518,#1020); #773=LINE('',#5526,#1021); #774=LINE('',#5530,#1022); #775=LINE('',#5538,#1023); #776=LINE('',#5542,#1024); #777=LINE('',#5550,#1025); #778=LINE('',#5554,#1026); #779=LINE('',#5562,#1027); #780=LINE('',#5566,#1028); #781=LINE('',#5576,#1029); #782=LINE('',#5579,#1030); #783=LINE('',#5582,#1031); #784=LINE('',#5583,#1032); #785=LINE('',#5585,#1033); #786=LINE('',#5588,#1034); #787=LINE('',#5590,#1035); #788=LINE('',#5593,#1036); #789=LINE('',#5595,#1037); #790=LINE('',#5598,#1038); #791=LINE('',#5600,#1039); #792=LINE('',#5603,#1040); #793=LINE('',#5605,#1041); #794=LINE('',#5608,#1042); #795=LINE('',#5610,#1043); #796=LINE('',#5613,#1044); #797=LINE('',#5615,#1045); #798=LINE('',#5618,#1046); #799=LINE('',#5620,#1047); #800=LINE('',#5623,#1048); #801=LINE('',#5625,#1049); #802=LINE('',#5631,#1050); #803=LINE('',#5635,#1051); #804=LINE('',#5638,#1052); #805=LINE('',#5642,#1053); #806=LINE('',#5645,#1054); #807=LINE('',#5649,#1055); #808=LINE('',#5652,#1056); #809=LINE('',#5656,#1057); #810=LINE('',#5659,#1058); #811=LINE('',#5663,#1059); #812=LINE('',#5666,#1060); #813=LINE('',#5670,#1061); #814=LINE('',#5673,#1062); #815=LINE('',#5677,#1063); #816=LINE('',#5680,#1064); #817=LINE('',#5684,#1065); #818=LINE('',#5687,#1066); #819=LINE('',#5691,#1067); #820=LINE('',#5694,#1068); #821=LINE('',#5698,#1069); #822=LINE('',#5700,#1070); #823=LINE('',#5701,#1071); #824=LINE('',#5706,#1072); #825=LINE('',#5710,#1073); #826=LINE('',#5711,#1074); #827=LINE('',#5717,#1075); #828=LINE('',#5720,#1076); #829=LINE('',#5724,#1077); #830=LINE('',#5725,#1078); #831=LINE('',#5728,#1079); #832=LINE('',#5731,#1080); #833=LINE('',#5735,#1081); #834=LINE('',#5738,#1082); #835=LINE('',#5742,#1083); #836=LINE('',#5743,#1084); #837=LINE('',#5746,#1085); #838=LINE('',#5749,#1086); #839=LINE('',#5753,#1087); #840=LINE('',#5756,#1088); #841=LINE('',#5760,#1089); #842=LINE('',#5761,#1090); #843=LINE('',#5764,#1091); #844=LINE('',#5767,#1092); #845=LINE('',#5771,#1093); #846=LINE('',#5774,#1094); #847=LINE('',#5778,#1095); #848=LINE('',#5779,#1096); #849=LINE('',#5782,#1097); #850=LINE('',#5785,#1098); #851=LINE('',#5788,#1099); #852=LINE('',#5791,#1100); #853=LINE('',#5794,#1101); #854=LINE('',#5795,#1102); #855=LINE('',#5800,#1103); #856=LINE('',#5803,#1104); #857=LINE('',#5807,#1105); #858=LINE('',#5810,#1106); #859=LINE('',#5814,#1107); #860=LINE('',#5815,#1108); #861=LINE('',#5818,#1109); #862=LINE('',#5821,#1110); #863=LINE('',#5824,#1111); #864=LINE('',#5827,#1112); #865=LINE('',#5830,#1113); #866=LINE('',#5831,#1114); #867=LINE('',#5836,#1115); #868=LINE('',#5839,#1116); #869=LINE('',#5842,#1117); #870=LINE('',#5846,#1118); #871=LINE('',#5847,#1119); #872=LINE('',#5850,#1120); #873=LINE('',#5854,#1121); #874=LINE('',#5855,#1122); #875=LINE('',#5867,#1123); #876=LINE('',#5884,#1124); #877=LINE('',#5892,#1125); #878=LINE('',#5910,#1126); #879=LINE('',#5920,#1127); #880=LINE('',#5937,#1128); #881=LINE('',#5947,#1129); #882=LINE('',#5956,#1130); #883=LINE('',#5962,#1131); #884=LINE('',#5969,#1132); #885=LINE('',#5974,#1133); #886=LINE('',#5982,#1134); #887=LINE('',#6009,#1135); #888=VECTOR('',#3957,0.695999999999991); #889=VECTOR('',#3966,10.); #890=VECTOR('',#3969,10.); #891=VECTOR('',#3976,10.); #892=VECTOR('',#3983,10.); #893=VECTOR('',#3986,10.); #894=VECTOR('',#3987,10.); #895=VECTOR('',#3988,10.); #896=VECTOR('',#3995,10.); #897=VECTOR('',#4002,10.); #898=VECTOR('',#4005,10.); #899=VECTOR('',#4006,10.); #900=VECTOR('',#4007,10.); #901=VECTOR('',#4010,10.); #902=VECTOR('',#4011,10.); #903=VECTOR('',#4012,10.); #904=VECTOR('',#4015,10.); #905=VECTOR('',#4016,10.); #906=VECTOR('',#4017,10.); #907=VECTOR('',#4020,10.); #908=VECTOR('',#4021,10.); #909=VECTOR('',#4022,10.); #910=VECTOR('',#4025,10.); #911=VECTOR('',#4026,10.); #912=VECTOR('',#4029,10.); #913=VECTOR('',#4030,10.); #914=VECTOR('',#4031,10.); #915=VECTOR('',#4032,10.); #916=VECTOR('',#4035,10.); #917=VECTOR('',#4036,10.); #918=VECTOR('',#4037,10.); #919=VECTOR('',#4040,10.); #920=VECTOR('',#4041,10.); #921=VECTOR('',#4042,10.); #922=VECTOR('',#4047,10.); #923=VECTOR('',#4054,10.); #924=VECTOR('',#4059,10.); #925=VECTOR('',#4060,10.); #926=VECTOR('',#4061,10.); #927=VECTOR('',#4064,10.); #928=VECTOR('',#4065,10.); #929=VECTOR('',#4072,10.); #930=VECTOR('',#4075,10.); #931=VECTOR('',#4086,4.45); #932=VECTOR('',#4093,3.95); #933=VECTOR('',#4096,10.); #934=VECTOR('',#4099,10.); #935=VECTOR('',#4108,3.95); #936=VECTOR('',#4111,3.95); #937=VECTOR('',#4114,10.); #938=VECTOR('',#4115,10.); #939=VECTOR('',#4118,10.); #940=VECTOR('',#4121,10.); #941=VECTOR('',#4130,10.); #942=VECTOR('',#4131,10.); #943=VECTOR('',#4132,10.); #944=VECTOR('',#4133,10.); #945=VECTOR('',#4134,10.); #946=VECTOR('',#4135,10.); #947=VECTOR('',#4138,10.); #948=VECTOR('',#4139,10.); #949=VECTOR('',#4140,10.); #950=VECTOR('',#4143,10.); #951=VECTOR('',#4144,10.); #952=VECTOR('',#4145,10.); #953=VECTOR('',#4146,10.); #954=VECTOR('',#4153,10.); #955=VECTOR('',#4156,10.); #956=VECTOR('',#4157,10.); #957=VECTOR('',#4158,10.); #958=VECTOR('',#4161,10.); #959=VECTOR('',#4162,10.); #960=VECTOR('',#4165,10.); #961=VECTOR('',#4172,10.); #962=VECTOR('',#4173,10.); #963=VECTOR('',#4174,10.); #964=VECTOR('',#4175,10.); #965=VECTOR('',#4176,10.); #966=VECTOR('',#4179,10.); #967=VECTOR('',#4186,10.); #968=VECTOR('',#4191,10.); #969=VECTOR('',#4192,10.); #970=VECTOR('',#4193,10.); #971=VECTOR('',#4198,10.); #972=VECTOR('',#4203,10.); #973=VECTOR('',#4206,10.); #974=VECTOR('',#4209,10.); #975=VECTOR('',#4212,10.); #976=VECTOR('',#4215,10.); #977=VECTOR('',#4230,10.); #978=VECTOR('',#4235,10.); #979=VECTOR('',#4236,10.); #980=VECTOR('',#4239,10.); #981=VECTOR('',#4242,10.); #982=VECTOR('',#4245,10.); #983=VECTOR('',#4246,10.); #984=VECTOR('',#4249,10.); #985=VECTOR('',#4254,10.); #986=VECTOR('',#4255,10.); #987=VECTOR('',#4258,10.); #988=VECTOR('',#4261,10.); #989=VECTOR('',#4264,10.); #990=VECTOR('',#4267,7.1); #991=VECTOR('',#4270,4.25); #992=VECTOR('',#4275,4.25); #993=VECTOR('',#4280,4.25); #994=VECTOR('',#4285,4.25); #995=VECTOR('',#4290,4.25); #996=VECTOR('',#4295,10.); #997=VECTOR('',#4296,10.); #998=VECTOR('',#4301,10.); #999=VECTOR('',#4304,10.); #1000=VECTOR('',#4307,10.); #1001=VECTOR('',#4308,10.); #1002=VECTOR('',#4313,10.); #1003=VECTOR('',#4316,10.); #1004=VECTOR('',#4317,10.); #1005=VECTOR('',#4332,6.5); #1006=VECTOR('',#4341,7.); #1007=VECTOR('',#4350,6.50000000000001); #1008=VECTOR('',#4363,5.); #1009=VECTOR('',#4370,3.85); #1010=VECTOR('',#4375,3.85000000000001); #1011=VECTOR('',#4384,5.); #1012=VECTOR('',#4395,11.9); #1013=VECTOR('',#4410,10.); #1014=VECTOR('',#4413,10.); #1015=VECTOR('',#4420,10.); #1016=VECTOR('',#4423,10.); #1017=VECTOR('',#4430,10.); #1018=VECTOR('',#4433,10.); #1019=VECTOR('',#4440,10.); #1020=VECTOR('',#4443,10.); #1021=VECTOR('',#4450,10.); #1022=VECTOR('',#4453,10.); #1023=VECTOR('',#4460,10.); #1024=VECTOR('',#4463,10.); #1025=VECTOR('',#4470,10.); #1026=VECTOR('',#4473,10.); #1027=VECTOR('',#4480,10.); #1028=VECTOR('',#4483,10.); #1029=VECTOR('',#4492,10.); #1030=VECTOR('',#4495,10.); #1031=VECTOR('',#4498,10.); #1032=VECTOR('',#4499,8.75); #1033=VECTOR('',#4500,10.); #1034=VECTOR('',#4503,10.); #1035=VECTOR('',#4504,10.); #1036=VECTOR('',#4507,10.); #1037=VECTOR('',#4508,10.); #1038=VECTOR('',#4511,10.); #1039=VECTOR('',#4512,10.); #1040=VECTOR('',#4515,10.); #1041=VECTOR('',#4516,10.); #1042=VECTOR('',#4519,10.); #1043=VECTOR('',#4520,10.); #1044=VECTOR('',#4523,10.); #1045=VECTOR('',#4524,10.); #1046=VECTOR('',#4527,10.); #1047=VECTOR('',#4528,10.); #1048=VECTOR('',#4531,10.); #1049=VECTOR('',#4532,10.); #1050=VECTOR('',#4539,7.); #1051=VECTOR('',#4544,10.); #1052=VECTOR('',#4547,10.); #1053=VECTOR('',#4552,10.); #1054=VECTOR('',#4555,10.); #1055=VECTOR('',#4560,10.); #1056=VECTOR('',#4563,10.); #1057=VECTOR('',#4568,10.); #1058=VECTOR('',#4571,10.); #1059=VECTOR('',#4576,10.); #1060=VECTOR('',#4579,10.); #1061=VECTOR('',#4584,10.); #1062=VECTOR('',#4587,10.); #1063=VECTOR('',#4592,10.); #1064=VECTOR('',#4595,10.); #1065=VECTOR('',#4600,10.); #1066=VECTOR('',#4603,10.); #1067=VECTOR('',#4608,10.); #1068=VECTOR('',#4611,10.); #1069=VECTOR('',#4616,10.); #1070=VECTOR('',#4617,10.); #1071=VECTOR('',#4618,10.); #1072=VECTOR('',#4625,10.); #1073=VECTOR('',#4630,10.); #1074=VECTOR('',#4631,10.); #1075=VECTOR('',#4640,10.); #1076=VECTOR('',#4643,10.); #1077=VECTOR('',#4648,10.); #1078=VECTOR('',#4649,10.); #1079=VECTOR('',#4652,10.); #1080=VECTOR('',#4657,10.); #1081=VECTOR('',#4662,10.); #1082=VECTOR('',#4665,10.); #1083=VECTOR('',#4670,10.); #1084=VECTOR('',#4671,10.); #1085=VECTOR('',#4674,10.); #1086=VECTOR('',#4679,10.); #1087=VECTOR('',#4684,10.); #1088=VECTOR('',#4687,10.); #1089=VECTOR('',#4692,10.); #1090=VECTOR('',#4693,10.); #1091=VECTOR('',#4696,10.); #1092=VECTOR('',#4701,10.); #1093=VECTOR('',#4706,10.); #1094=VECTOR('',#4709,10.); #1095=VECTOR('',#4714,10.); #1096=VECTOR('',#4715,10.); #1097=VECTOR('',#4718,10.); #1098=VECTOR('',#4723,10.); #1099=VECTOR('',#4726,10.); #1100=VECTOR('',#4729,10.); #1101=VECTOR('',#4732,10.); #1102=VECTOR('',#4733,10.); #1103=VECTOR('',#4740,10.); #1104=VECTOR('',#4745,10.); #1105=VECTOR('',#4750,10.); #1106=VECTOR('',#4753,10.); #1107=VECTOR('',#4758,10.); #1108=VECTOR('',#4759,10.); #1109=VECTOR('',#4762,10.); #1110=VECTOR('',#4767,10.); #1111=VECTOR('',#4770,10.); #1112=VECTOR('',#4773,10.); #1113=VECTOR('',#4776,10.); #1114=VECTOR('',#4777,10.); #1115=VECTOR('',#4784,10.); #1116=VECTOR('',#4789,10.); #1117=VECTOR('',#4792,10.); #1118=VECTOR('',#4797,10.); #1119=VECTOR('',#4798,10.); #1120=VECTOR('',#4801,10.); #1121=VECTOR('',#4806,10.); #1122=VECTOR('',#4807,10.); #1123=VECTOR('',#4826,2.5); #1124=VECTOR('',#4855,7.1); #1125=VECTOR('',#4866,9.); #1126=VECTOR('',#4889,17.); #1127=VECTOR('',#4902,19.5); #1128=VECTOR('',#4923,17.); #1129=VECTOR('',#4936,12.575); #1130=VECTOR('',#4947,12.075); #1131=VECTOR('',#4954,12.575); #1132=VECTOR('',#4963,17.); #1133=VECTOR('',#4970,17.); #1134=VECTOR('',#4979,22.); #1135=VECTOR('',#5018,22.); #1136=CIRCLE('',#3528,0.695999999999991); #1137=CIRCLE('',#3529,0.695999999999991); #1138=CIRCLE('',#3532,10.1865000000008); #1139=CIRCLE('',#3533,10.1865000000008); #1140=CIRCLE('',#3535,1.98749999999998); #1141=CIRCLE('',#3536,1.98749999999998); #1142=CIRCLE('',#3538,1.51574999999998); #1143=CIRCLE('',#3539,1.51574999999998); #1144=CIRCLE('',#3542,1.59449999999998); #1145=CIRCLE('',#3543,1.59449999999998); #1146=CIRCLE('',#3545,7.74525000000015); #1147=CIRCLE('',#3546,7.74525000000015); #1148=CIRCLE('',#3556,10.1865000000008); #1149=CIRCLE('',#3557,10.1865000000008); #1150=CIRCLE('',#3559,7.74525000000015); #1151=CIRCLE('',#3560,7.74525000000015); #1152=CIRCLE('',#3565,27.); #1153=CIRCLE('',#3566,27.); #1154=CIRCLE('',#3567,3.95); #1155=CIRCLE('',#3568,3.95); #1156=CIRCLE('',#3569,3.95); #1157=CIRCLE('',#3571,4.95); #1158=CIRCLE('',#3572,3.95); #1159=CIRCLE('',#3573,3.95); #1160=CIRCLE('',#3576,27.); #1161=CIRCLE('',#3577,27.); #1162=CIRCLE('',#3578,3.95); #1163=CIRCLE('',#3579,3.95); #1164=CIRCLE('',#3592,7.); #1165=CIRCLE('',#3593,7.); #1166=CIRCLE('',#3595,22.5000000000001); #1167=CIRCLE('',#3596,22.5000000000001); #1168=CIRCLE('',#3597,7.1); #1169=CIRCLE('',#3599,22.5000000000001); #1170=CIRCLE('',#3600,22.5000000000001); #1171=CIRCLE('',#3601,7.1); #1172=CIRCLE('',#3603,7.); #1173=CIRCLE('',#3604,7.); #1174=CIRCLE('',#3607,7.); #1175=CIRCLE('',#3608,7.); #1176=CIRCLE('',#3610,10.); #1177=CIRCLE('',#3611,15.); #1178=CIRCLE('',#3612,15.); #1179=CIRCLE('',#3613,10.); #1180=CIRCLE('',#3614,4.25); #1181=CIRCLE('',#3615,4.25); #1182=CIRCLE('',#3616,4.25); #1183=CIRCLE('',#3617,4.25); #1184=CIRCLE('',#3618,4.25); #1185=CIRCLE('',#3620,7.); #1186=CIRCLE('',#3621,7.); #1187=CIRCLE('',#3624,10.); #1188=CIRCLE('',#3627,15.); #1189=CIRCLE('',#3634,4.25); #1190=CIRCLE('',#3636,4.25); #1191=CIRCLE('',#3638,4.25); #1192=CIRCLE('',#3640,4.25); #1193=CIRCLE('',#3642,4.25); #1194=CIRCLE('',#3649,10.); #1195=CIRCLE('',#3652,15.); #1196=CIRCLE('',#3656,7.); #1197=CIRCLE('',#3657,7.); #1198=CIRCLE('',#3658,6.); #1199=CIRCLE('',#3660,7.); #1200=CIRCLE('',#3661,7.); #1201=CIRCLE('',#3663,3.85); #1202=CIRCLE('',#3665,6.); #1203=CIRCLE('',#3667,3.85); #1204=CIRCLE('',#3669,5.); #1205=CIRCLE('',#3671,5.); #1206=CIRCLE('',#3673,3.85); #1207=CIRCLE('',#3676,3.85000000000001); #1208=CIRCLE('',#3678,5.); #1209=CIRCLE('',#3680,5.); #1210=CIRCLE('',#3685,11.9); #1211=CIRCLE('',#3686,11.9); #1212=CIRCLE('',#3688,8.75); #1213=CIRCLE('',#3690,2.55); #1214=CIRCLE('',#3691,8.75); #1215=CIRCLE('',#3692,2.55); #1216=CIRCLE('',#3693,11.5); #1217=CIRCLE('',#3694,2.55); #1218=CIRCLE('',#3695,8.75); #1219=CIRCLE('',#3696,2.55); #1220=CIRCLE('',#3697,11.5); #1221=CIRCLE('',#3698,2.55); #1222=CIRCLE('',#3699,8.75); #1223=CIRCLE('',#3700,2.55); #1224=CIRCLE('',#3701,11.5); #1225=CIRCLE('',#3702,2.55); #1226=CIRCLE('',#3703,8.75); #1227=CIRCLE('',#3704,2.55); #1228=CIRCLE('',#3705,11.5); #1229=CIRCLE('',#3706,2.55); #1230=CIRCLE('',#3707,8.75); #1231=CIRCLE('',#3708,2.55); #1232=CIRCLE('',#3709,11.5); #1233=CIRCLE('',#3710,2.55); #1234=CIRCLE('',#3711,8.75); #1235=CIRCLE('',#3712,2.55); #1236=CIRCLE('',#3713,11.5); #1237=CIRCLE('',#3714,2.55); #1238=CIRCLE('',#3715,8.75); #1239=CIRCLE('',#3716,2.55); #1240=CIRCLE('',#3717,11.5); #1241=CIRCLE('',#3718,2.55); #1242=CIRCLE('',#3719,8.75); #1243=CIRCLE('',#3720,2.55); #1244=CIRCLE('',#3721,11.5); #1245=CIRCLE('',#3722,2.55); #1246=CIRCLE('',#3723,8.75); #1247=CIRCLE('',#3724,8.75); #1248=CIRCLE('',#3725,2.55); #1249=CIRCLE('',#3726,11.5); #1250=CIRCLE('',#3728,8.75); #1251=CIRCLE('',#3729,8.75); #1252=CIRCLE('',#3730,8.75); #1253=CIRCLE('',#3731,8.75); #1254=CIRCLE('',#3732,8.75); #1255=CIRCLE('',#3733,8.75); #1256=CIRCLE('',#3734,8.75); #1257=CIRCLE('',#3735,8.75); #1258=CIRCLE('',#3736,8.75); #1259=CIRCLE('',#3738,7.); #1260=CIRCLE('',#3739,7.); #1261=CIRCLE('',#3741,8.75); #1262=CIRCLE('',#3742,8.75); #1263=CIRCLE('',#3744,8.75); #1264=CIRCLE('',#3745,8.75); #1265=CIRCLE('',#3747,8.75); #1266=CIRCLE('',#3748,8.75); #1267=CIRCLE('',#3750,8.75); #1268=CIRCLE('',#3751,8.75); #1269=CIRCLE('',#3753,8.75); #1270=CIRCLE('',#3754,8.75); #1271=CIRCLE('',#3756,8.75); #1272=CIRCLE('',#3757,8.75); #1273=CIRCLE('',#3759,8.75); #1274=CIRCLE('',#3760,8.75); #1275=CIRCLE('',#3762,8.75); #1276=CIRCLE('',#3763,8.75); #1277=CIRCLE('',#3765,8.75); #1278=CIRCLE('',#3766,8.75); #1279=CIRCLE('',#3769,2.55); #1280=CIRCLE('',#3771,2.55); #1281=CIRCLE('',#3774,11.5); #1282=CIRCLE('',#3776,2.55); #1283=CIRCLE('',#3778,2.55); #1284=CIRCLE('',#3781,11.5); #1285=CIRCLE('',#3784,2.55); #1286=CIRCLE('',#3786,2.55); #1287=CIRCLE('',#3789,11.5); #1288=CIRCLE('',#3792,2.55); #1289=CIRCLE('',#3794,2.55); #1290=CIRCLE('',#3797,11.5); #1291=CIRCLE('',#3800,2.55); #1292=CIRCLE('',#3802,2.55); #1293=CIRCLE('',#3805,11.5); #1294=CIRCLE('',#3808,11.5); #1295=CIRCLE('',#3811,2.55); #1296=CIRCLE('',#3813,2.55); #1297=CIRCLE('',#3816,2.55); #1298=CIRCLE('',#3818,2.55); #1299=CIRCLE('',#3821,11.5); #1300=CIRCLE('',#3824,11.5); #1301=CIRCLE('',#3827,2.55); #1302=CIRCLE('',#3829,2.55); #1303=CIRCLE('',#3832,2.55); #1304=CIRCLE('',#3835,11.5); #1305=CIRCLE('',#3838,2.55); #1306=CIRCLE('',#3843,2.5); #1307=CIRCLE('',#3845,2.5); #1308=CIRCLE('',#3859,7.1); #1309=CIRCLE('',#3860,7.1); #1310=CIRCLE('',#3862,9.); #1311=CIRCLE('',#3864,9.); #1312=CIRCLE('',#3868,18.); #1313=CIRCLE('',#3869,1.); #1314=CIRCLE('',#3870,17.); #1315=CIRCLE('',#3871,17.); #1316=CIRCLE('',#3873,18.5); #1317=CIRCLE('',#3875,17.); #1318=CIRCLE('',#3876,17.); #1319=CIRCLE('',#3878,1.); #1320=CIRCLE('',#3879,19.5); #1321=CIRCLE('',#3880,19.5); #1322=CIRCLE('',#3882,19.5); #1323=CIRCLE('',#3883,19.5); #1324=CIRCLE('',#3885,17.); #1325=CIRCLE('',#3886,1.); #1326=CIRCLE('',#3887,18.); #1327=CIRCLE('',#3888,17.); #1328=CIRCLE('',#3890,18.5); #1329=CIRCLE('',#3892,17.); #1330=CIRCLE('',#3893,17.); #1331=CIRCLE('',#3895,1.); #1332=CIRCLE('',#3897,13.075); #1333=CIRCLE('',#3898,12.075); #1334=CIRCLE('',#3899,12.075); #1335=CIRCLE('',#3901,12.075); #1336=CIRCLE('',#3902,12.075); #1337=CIRCLE('',#3904,17.); #1338=CIRCLE('',#3906,13.075); #1339=CIRCLE('',#3908,17.); #1340=CIRCLE('',#3910,17.); #1341=CIRCLE('',#3912,17.); #1342=CIRCLE('',#3914,22.); #1343=CIRCLE('',#3916,22.); #1344=CIRCLE('',#3917,22.); #1345=CIRCLE('',#3919,20.5); #1346=CIRCLE('',#3920,1.49999999999999); #1347=CIRCLE('',#3922,18.); #1348=CIRCLE('',#3924,1.00000000000001); #1349=CIRCLE('',#3926,1.); #1350=CIRCLE('',#3927,18.); #1351=CIRCLE('',#3929,20.5); #1352=CIRCLE('',#3931,22.); #1353=CIRCLE('',#3932,22.); #1354=CIRCLE('',#3933,1.5); #1355=CIRCLE('',#3935,22.); #1356=VERTEX_POINT('',#5048); #1357=VERTEX_POINT('',#5050); #1358=VERTEX_POINT('',#5055); #1359=VERTEX_POINT('',#5056); #1360=VERTEX_POINT('',#5058); #1361=VERTEX_POINT('',#5060); #1362=VERTEX_POINT('',#5064); #1363=VERTEX_POINT('',#5066); #1364=VERTEX_POINT('',#5070); #1365=VERTEX_POINT('',#5072); #1366=VERTEX_POINT('',#5076); #1367=VERTEX_POINT('',#5078); #1368=VERTEX_POINT('',#5082); #1369=VERTEX_POINT('',#5084); #1370=VERTEX_POINT('',#5088); #1371=VERTEX_POINT('',#5090); #1372=VERTEX_POINT('',#5094); #1373=VERTEX_POINT('',#5096); #1374=VERTEX_POINT('',#5100); #1375=VERTEX_POINT('',#5102); #1376=VERTEX_POINT('',#5106); #1377=VERTEX_POINT('',#5108); #1378=VERTEX_POINT('',#5112); #1379=VERTEX_POINT('',#5114); #1380=VERTEX_POINT('',#5121); #1381=VERTEX_POINT('',#5122); #1382=VERTEX_POINT('',#5124); #1383=VERTEX_POINT('',#5126); #1384=VERTEX_POINT('',#5130); #1385=VERTEX_POINT('',#5132); #1386=VERTEX_POINT('',#5136); #1387=VERTEX_POINT('',#5138); #1388=VERTEX_POINT('',#5142); #1389=VERTEX_POINT('',#5144); #1390=VERTEX_POINT('',#5148); #1391=VERTEX_POINT('',#5150); #1392=VERTEX_POINT('',#5154); #1393=VERTEX_POINT('',#5156); #1394=VERTEX_POINT('',#5164); #1395=VERTEX_POINT('',#5165); #1396=VERTEX_POINT('',#5167); #1397=VERTEX_POINT('',#5169); #1398=VERTEX_POINT('',#5172); #1399=VERTEX_POINT('',#5174); #1400=VERTEX_POINT('',#5176); #1401=VERTEX_POINT('',#5179); #1402=VERTEX_POINT('',#5181); #1403=VERTEX_POINT('',#5183); #1404=VERTEX_POINT('',#5189); #1405=VERTEX_POINT('',#5190); #1406=VERTEX_POINT('',#5192); #1407=VERTEX_POINT('',#5194); #1408=VERTEX_POINT('',#5197); #1409=VERTEX_POINT('',#5199); #1410=VERTEX_POINT('',#5216); #1411=VERTEX_POINT('',#5217); #1412=VERTEX_POINT('',#5219); #1413=VERTEX_POINT('',#5221); #1414=VERTEX_POINT('',#5223); #1415=VERTEX_POINT('',#5225); #1416=VERTEX_POINT('',#5229); #1417=VERTEX_POINT('',#5231); #1418=VERTEX_POINT('',#5235); #1419=VERTEX_POINT('',#5236); #1420=VERTEX_POINT('',#5238); #1421=VERTEX_POINT('',#5240); #1422=VERTEX_POINT('',#5244); #1423=VERTEX_POINT('',#5246); #1424=VERTEX_POINT('',#5250); #1425=VERTEX_POINT('',#5252); #1426=VERTEX_POINT('',#5254); #1427=VERTEX_POINT('',#5257); #1428=VERTEX_POINT('',#5259); #1429=VERTEX_POINT('',#5261); #1430=VERTEX_POINT('',#5264); #1431=VERTEX_POINT('',#5267); #1432=VERTEX_POINT('',#5269); #1433=VERTEX_POINT('',#5271); #1434=VERTEX_POINT('',#5273); #1435=VERTEX_POINT('',#5275); #1436=VERTEX_POINT('',#5277); #1437=VERTEX_POINT('',#5279); #1438=VERTEX_POINT('',#5282); #1439=VERTEX_POINT('',#5285); #1440=VERTEX_POINT('',#5287); #1441=VERTEX_POINT('',#5291); #1442=VERTEX_POINT('',#5293); #1443=VERTEX_POINT('',#5297); #1444=VERTEX_POINT('',#5299); #1445=VERTEX_POINT('',#5303); #1446=VERTEX_POINT('',#5305); #1447=VERTEX_POINT('',#5307); #1448=VERTEX_POINT('',#5309); #1449=VERTEX_POINT('',#5311); #1450=VERTEX_POINT('',#5313); #1451=VERTEX_POINT('',#5315); #1452=VERTEX_POINT('',#5317); #1453=VERTEX_POINT('',#5320); #1454=VERTEX_POINT('',#5322); #1455=VERTEX_POINT('',#5324); #1456=VERTEX_POINT('',#5326); #1457=VERTEX_POINT('',#5328); #1458=VERTEX_POINT('',#5331); #1459=VERTEX_POINT('',#5333); #1460=VERTEX_POINT('',#5337); #1461=VERTEX_POINT('',#5341); #1462=VERTEX_POINT('',#5343); #1463=VERTEX_POINT('',#5347); #1464=VERTEX_POINT('',#5351); #1465=VERTEX_POINT('',#5366); #1466=VERTEX_POINT('',#5370); #1467=VERTEX_POINT('',#5374); #1468=VERTEX_POINT('',#5378); #1469=VERTEX_POINT('',#5382); #1470=VERTEX_POINT('',#5386); #1471=VERTEX_POINT('',#5395); #1472=VERTEX_POINT('',#5399); #1473=VERTEX_POINT('',#5403); #1474=VERTEX_POINT('',#5411); #1475=VERTEX_POINT('',#5412); #1476=VERTEX_POINT('',#5415); #1477=VERTEX_POINT('',#5419); #1478=VERTEX_POINT('',#5420); #1479=VERTEX_POINT('',#5425); #1480=VERTEX_POINT('',#5428); #1481=VERTEX_POINT('',#5432); #1482=VERTEX_POINT('',#5435); #1483=VERTEX_POINT('',#5438); #1484=VERTEX_POINT('',#5442); #1485=VERTEX_POINT('',#5447); #1486=VERTEX_POINT('',#5451); #1487=VERTEX_POINT('',#5454); #1488=VERTEX_POINT('',#5461); #1489=VERTEX_POINT('',#5463); #1490=VERTEX_POINT('',#5467); #1491=VERTEX_POINT('',#5470); #1492=VERTEX_POINT('',#5471); #1493=VERTEX_POINT('',#5473); #1494=VERTEX_POINT('',#5475); #1495=VERTEX_POINT('',#5477); #1496=VERTEX_POINT('',#5479); #1497=VERTEX_POINT('',#5481); #1498=VERTEX_POINT('',#5483); #1499=VERTEX_POINT('',#5485); #1500=VERTEX_POINT('',#5487); #1501=VERTEX_POINT('',#5489); #1502=VERTEX_POINT('',#5491); #1503=VERTEX_POINT('',#5493); #1504=VERTEX_POINT('',#5495); #1505=VERTEX_POINT('',#5497); #1506=VERTEX_POINT('',#5499); #1507=VERTEX_POINT('',#5501); #1508=VERTEX_POINT('',#5503); #1509=VERTEX_POINT('',#5505); #1510=VERTEX_POINT('',#5507); #1511=VERTEX_POINT('',#5509); #1512=VERTEX_POINT('',#5511); #1513=VERTEX_POINT('',#5513); #1514=VERTEX_POINT('',#5515); #1515=VERTEX_POINT('',#5517); #1516=VERTEX_POINT('',#5519); #1517=VERTEX_POINT('',#5521); #1518=VERTEX_POINT('',#5523); #1519=VERTEX_POINT('',#5525); #1520=VERTEX_POINT('',#5527); #1521=VERTEX_POINT('',#5529); #1522=VERTEX_POINT('',#5531); #1523=VERTEX_POINT('',#5533); #1524=VERTEX_POINT('',#5535); #1525=VERTEX_POINT('',#5537); #1526=VERTEX_POINT('',#5539); #1527=VERTEX_POINT('',#5541); #1528=VERTEX_POINT('',#5543); #1529=VERTEX_POINT('',#5545); #1530=VERTEX_POINT('',#5547); #1531=VERTEX_POINT('',#5549); #1532=VERTEX_POINT('',#5551); #1533=VERTEX_POINT('',#5553); #1534=VERTEX_POINT('',#5555); #1535=VERTEX_POINT('',#5557); #1536=VERTEX_POINT('',#5559); #1537=VERTEX_POINT('',#5561); #1538=VERTEX_POINT('',#5563); #1539=VERTEX_POINT('',#5565); #1540=VERTEX_POINT('',#5567); #1541=VERTEX_POINT('',#5569); #1542=VERTEX_POINT('',#5571); #1543=VERTEX_POINT('',#5573); #1544=VERTEX_POINT('',#5575); #1545=VERTEX_POINT('',#5577); #1546=VERTEX_POINT('',#5581); #1547=VERTEX_POINT('',#5584); #1548=VERTEX_POINT('',#5586); #1549=VERTEX_POINT('',#5589); #1550=VERTEX_POINT('',#5591); #1551=VERTEX_POINT('',#5594); #1552=VERTEX_POINT('',#5596); #1553=VERTEX_POINT('',#5599); #1554=VERTEX_POINT('',#5601); #1555=VERTEX_POINT('',#5604); #1556=VERTEX_POINT('',#5606); #1557=VERTEX_POINT('',#5609); #1558=VERTEX_POINT('',#5611); #1559=VERTEX_POINT('',#5614); #1560=VERTEX_POINT('',#5616); #1561=VERTEX_POINT('',#5619); #1562=VERTEX_POINT('',#5621); #1563=VERTEX_POINT('',#5624); #1564=VERTEX_POINT('',#5628); #1565=VERTEX_POINT('',#5630); #1566=VERTEX_POINT('',#5634); #1567=VERTEX_POINT('',#5637); #1568=VERTEX_POINT('',#5641); #1569=VERTEX_POINT('',#5643); #1570=VERTEX_POINT('',#5648); #1571=VERTEX_POINT('',#5651); #1572=VERTEX_POINT('',#5655); #1573=VERTEX_POINT('',#5658); #1574=VERTEX_POINT('',#5662); #1575=VERTEX_POINT('',#5664); #1576=VERTEX_POINT('',#5669); #1577=VERTEX_POINT('',#5672); #1578=VERTEX_POINT('',#5676); #1579=VERTEX_POINT('',#5679); #1580=VERTEX_POINT('',#5683); #1581=VERTEX_POINT('',#5686); #1582=VERTEX_POINT('',#5690); #1583=VERTEX_POINT('',#5692); #1584=VERTEX_POINT('',#5697); #1585=VERTEX_POINT('',#5699); #1586=VERTEX_POINT('',#5705); #1587=VERTEX_POINT('',#5709); #1588=VERTEX_POINT('',#5715); #1589=VERTEX_POINT('',#5719); #1590=VERTEX_POINT('',#5723); #1591=VERTEX_POINT('',#5727); #1592=VERTEX_POINT('',#5733); #1593=VERTEX_POINT('',#5737); #1594=VERTEX_POINT('',#5741); #1595=VERTEX_POINT('',#5745); #1596=VERTEX_POINT('',#5751); #1597=VERTEX_POINT('',#5755); #1598=VERTEX_POINT('',#5759); #1599=VERTEX_POINT('',#5763); #1600=VERTEX_POINT('',#5769); #1601=VERTEX_POINT('',#5773); #1602=VERTEX_POINT('',#5777); #1603=VERTEX_POINT('',#5781); #1604=VERTEX_POINT('',#5787); #1605=VERTEX_POINT('',#5789); #1606=VERTEX_POINT('',#5793); #1607=VERTEX_POINT('',#5799); #1608=VERTEX_POINT('',#5805); #1609=VERTEX_POINT('',#5809); #1610=VERTEX_POINT('',#5813); #1611=VERTEX_POINT('',#5817); #1612=VERTEX_POINT('',#5823); #1613=VERTEX_POINT('',#5825); #1614=VERTEX_POINT('',#5829); #1615=VERTEX_POINT('',#5835); #1616=VERTEX_POINT('',#5841); #1617=VERTEX_POINT('',#5845); #1618=VERTEX_POINT('',#5849); #1619=VERTEX_POINT('',#5853); #1620=VERTEX_POINT('',#5862); #1621=VERTEX_POINT('',#5865); #1622=VERTEX_POINT('',#5881); #1623=VERTEX_POINT('',#5883); #1624=VERTEX_POINT('',#5887); #1625=VERTEX_POINT('',#5890); #1626=VERTEX_POINT('',#5896); #1627=VERTEX_POINT('',#5898); #1628=VERTEX_POINT('',#5900); #1629=VERTEX_POINT('',#5904); #1630=VERTEX_POINT('',#5907); #1631=VERTEX_POINT('',#5908); #1632=VERTEX_POINT('',#5913); #1633=VERTEX_POINT('',#5915); #1634=VERTEX_POINT('',#5919); #1635=VERTEX_POINT('',#5921); #1636=VERTEX_POINT('',#5925); #1637=VERTEX_POINT('',#5926); #1638=VERTEX_POINT('',#5928); #1639=VERTEX_POINT('',#5933); #1640=VERTEX_POINT('',#5936); #1641=VERTEX_POINT('',#5938); #1642=VERTEX_POINT('',#5944); #1643=VERTEX_POINT('',#5946); #1644=VERTEX_POINT('',#5948); #1645=VERTEX_POINT('',#5952); #1646=VERTEX_POINT('',#5953); #1647=VERTEX_POINT('',#5958); #1648=VERTEX_POINT('',#5961); #1649=VERTEX_POINT('',#5965); #1650=VERTEX_POINT('',#5968); #1651=VERTEX_POINT('',#5972); #1652=VERTEX_POINT('',#5976); #1653=VERTEX_POINT('',#5979); #1654=VERTEX_POINT('',#5980); #1655=VERTEX_POINT('',#5985); #1656=VERTEX_POINT('',#5989); #1657=VERTEX_POINT('',#5994); #1658=VERTEX_POINT('',#5998); #1659=VERTEX_POINT('',#6001); #1660=VERTEX_POINT('',#6002); #1661=VERTEX_POINT('',#6007); #1662=EDGE_CURVE('',#1356,#1356,#1136,.T.); #1663=EDGE_CURVE('',#1356,#1357,#640,.T.); #1664=EDGE_CURVE('',#1357,#1357,#1137,.T.); #1665=EDGE_CURVE('',#1358,#1359,#1138,.T.); #1666=EDGE_CURVE('',#1358,#1360,#641,.T.); #1667=EDGE_CURVE('',#1360,#1361,#1139,.T.); #1668=EDGE_CURVE('',#1359,#1361,#642,.T.); #1669=EDGE_CURVE('',#1359,#1362,#1140,.T.); #1670=EDGE_CURVE('',#1361,#1363,#1141,.T.); #1671=EDGE_CURVE('',#1362,#1363,#643,.T.); #1672=EDGE_CURVE('',#1362,#1364,#1142,.T.); #1673=EDGE_CURVE('',#1363,#1365,#1143,.T.); #1674=EDGE_CURVE('',#1364,#1365,#644,.T.); #1675=EDGE_CURVE('',#1364,#1366,#645,.T.); #1676=EDGE_CURVE('',#1365,#1367,#646,.T.); #1677=EDGE_CURVE('',#1366,#1367,#647,.T.); #1678=EDGE_CURVE('',#1366,#1368,#1144,.T.); #1679=EDGE_CURVE('',#1367,#1369,#1145,.T.); #1680=EDGE_CURVE('',#1368,#1369,#648,.T.); #1681=EDGE_CURVE('',#1368,#1370,#1146,.T.); #1682=EDGE_CURVE('',#1369,#1371,#1147,.T.); #1683=EDGE_CURVE('',#1370,#1371,#649,.T.); #1684=EDGE_CURVE('',#1370,#1372,#650,.T.); #1685=EDGE_CURVE('',#1371,#1373,#651,.T.); #1686=EDGE_CURVE('',#1372,#1373,#652,.T.); #1687=EDGE_CURVE('',#1372,#1374,#653,.T.); #1688=EDGE_CURVE('',#1373,#1375,#654,.T.); #1689=EDGE_CURVE('',#1374,#1375,#655,.T.); #1690=EDGE_CURVE('',#1374,#1376,#656,.T.); #1691=EDGE_CURVE('',#1375,#1377,#657,.T.); #1692=EDGE_CURVE('',#1376,#1377,#658,.T.); #1693=EDGE_CURVE('',#1376,#1378,#659,.T.); #1694=EDGE_CURVE('',#1377,#1379,#660,.T.); #1695=EDGE_CURVE('',#1378,#1379,#661,.T.); #1696=EDGE_CURVE('',#1378,#1358,#662,.T.); #1697=EDGE_CURVE('',#1379,#1360,#663,.T.); #1698=EDGE_CURVE('',#1380,#1381,#664,.T.); #1699=EDGE_CURVE('',#1380,#1382,#665,.T.); #1700=EDGE_CURVE('',#1382,#1383,#666,.T.); #1701=EDGE_CURVE('',#1381,#1383,#667,.T.); #1702=EDGE_CURVE('',#1384,#1380,#668,.T.); #1703=EDGE_CURVE('',#1384,#1385,#669,.T.); #1704=EDGE_CURVE('',#1385,#1382,#670,.T.); #1705=EDGE_CURVE('',#1386,#1384,#671,.T.); #1706=EDGE_CURVE('',#1386,#1387,#672,.T.); #1707=EDGE_CURVE('',#1387,#1385,#673,.T.); #1708=EDGE_CURVE('',#1388,#1386,#1148,.T.); #1709=EDGE_CURVE('',#1388,#1389,#674,.T.); #1710=EDGE_CURVE('',#1389,#1387,#1149,.T.); #1711=EDGE_CURVE('',#1390,#1388,#1150,.T.); #1712=EDGE_CURVE('',#1390,#1391,#675,.T.); #1713=EDGE_CURVE('',#1391,#1389,#1151,.T.); #1714=EDGE_CURVE('',#1392,#1390,#676,.T.); #1715=EDGE_CURVE('',#1392,#1393,#677,.T.); #1716=EDGE_CURVE('',#1393,#1391,#678,.T.); #1717=EDGE_CURVE('',#1381,#1392,#679,.T.); #1718=EDGE_CURVE('',#1383,#1393,#680,.T.); #1719=EDGE_CURVE('',#1394,#1395,#1152,.T.); #1720=EDGE_CURVE('',#1396,#1394,#681,.T.); #1721=EDGE_CURVE('',#1397,#1396,#1153,.T.); #1722=EDGE_CURVE('',#1395,#1397,#682,.T.); #1723=EDGE_CURVE('',#1398,#1398,#1154,.T.); #1724=EDGE_CURVE('',#1399,#1399,#1155,.T.); #1725=EDGE_CURVE('',#1400,#1400,#1156,.T.); #1726=EDGE_CURVE('',#1401,#1401,#1157,.T.); #1727=EDGE_CURVE('',#1401,#1402,#683,.T.); #1728=EDGE_CURVE('',#1402,#1403,#1158,.T.); #1729=EDGE_CURVE('',#1403,#1402,#1159,.T.); #1730=EDGE_CURVE('',#1403,#1398,#684,.T.); #1731=EDGE_CURVE('',#1404,#1405,#685,.T.); #1732=EDGE_CURVE('',#1406,#1404,#1160,.T.); #1733=EDGE_CURVE('',#1407,#1406,#686,.T.); #1734=EDGE_CURVE('',#1405,#1407,#1161,.T.); #1735=EDGE_CURVE('',#1408,#1408,#1162,.T.); #1736=EDGE_CURVE('',#1409,#1409,#1163,.T.); #1737=EDGE_CURVE('',#1409,#1400,#687,.T.); #1738=EDGE_CURVE('',#1408,#1399,#688,.T.); #1739=EDGE_CURVE('',#1396,#1405,#689,.T.); #1740=EDGE_CURVE('',#1394,#1404,#690,.T.); #1741=EDGE_CURVE('',#1397,#1407,#691,.T.); #1742=EDGE_CURVE('',#1395,#1406,#692,.T.); #1743=EDGE_CURVE('',#1410,#1411,#693,.T.); #1744=EDGE_CURVE('',#1412,#1411,#694,.T.); #1745=EDGE_CURVE('',#1412,#1413,#695,.T.); #1746=EDGE_CURVE('',#1413,#1414,#696,.T.); #1747=EDGE_CURVE('',#1414,#1415,#697,.T.); #1748=EDGE_CURVE('',#1415,#1410,#698,.T.); #1749=EDGE_CURVE('',#1413,#1416,#699,.T.); #1750=EDGE_CURVE('',#1417,#1416,#700,.T.); #1751=EDGE_CURVE('',#1414,#1417,#701,.T.); #1752=EDGE_CURVE('',#1418,#1419,#702,.T.); #1753=EDGE_CURVE('',#1420,#1418,#703,.T.); #1754=EDGE_CURVE('',#1421,#1420,#704,.T.); #1755=EDGE_CURVE('',#1421,#1419,#705,.T.); #1756=EDGE_CURVE('',#1419,#1422,#1164,.T.); #1757=EDGE_CURVE('',#1423,#1421,#1165,.T.); #1758=EDGE_CURVE('',#1423,#1422,#706,.T.); #1759=EDGE_CURVE('',#1422,#1424,#707,.T.); #1760=EDGE_CURVE('',#1425,#1423,#708,.T.); #1761=EDGE_CURVE('',#1426,#1425,#709,.T.); #1762=EDGE_CURVE('',#1411,#1426,#1166,.T.); #1763=EDGE_CURVE('',#1427,#1410,#710,.T.); #1764=EDGE_CURVE('',#1428,#1427,#711,.T.); #1765=EDGE_CURVE('',#1429,#1428,#1167,.T.); #1766=EDGE_CURVE('',#1424,#1429,#712,.T.); #1767=EDGE_CURVE('',#1430,#1430,#1168,.T.); #1768=EDGE_CURVE('',#1431,#1412,#1169,.T.); #1769=EDGE_CURVE('',#1432,#1431,#713,.T.); #1770=EDGE_CURVE('',#1433,#1432,#714,.T.); #1771=EDGE_CURVE('',#1433,#1434,#715,.T.); #1772=EDGE_CURVE('',#1435,#1434,#716,.T.); #1773=EDGE_CURVE('',#1436,#1435,#717,.T.); #1774=EDGE_CURVE('',#1437,#1436,#1170,.T.); #1775=EDGE_CURVE('',#1416,#1437,#718,.T.); #1776=EDGE_CURVE('',#1438,#1438,#1171,.T.); #1777=EDGE_CURVE('',#1439,#1433,#1172,.T.); #1778=EDGE_CURVE('',#1439,#1440,#719,.T.); #1779=EDGE_CURVE('',#1434,#1440,#1173,.T.); #1780=EDGE_CURVE('',#1441,#1439,#720,.T.); #1781=EDGE_CURVE('',#1441,#1442,#721,.T.); #1782=EDGE_CURVE('',#1440,#1442,#722,.T.); #1783=EDGE_CURVE('',#1443,#1441,#1174,.T.); #1784=EDGE_CURVE('',#1443,#1444,#723,.T.); #1785=EDGE_CURVE('',#1442,#1444,#1175,.T.); #1786=EDGE_CURVE('',#1445,#1443,#724,.T.); #1787=EDGE_CURVE('',#1446,#1445,#1176,.T.); #1788=EDGE_CURVE('',#1447,#1446,#725,.T.); #1789=EDGE_CURVE('',#1448,#1447,#1177,.T.); #1790=EDGE_CURVE('',#1449,#1448,#726,.T.); #1791=EDGE_CURVE('',#1450,#1449,#1178,.T.); #1792=EDGE_CURVE('',#1451,#1450,#727,.T.); #1793=EDGE_CURVE('',#1452,#1451,#1179,.T.); #1794=EDGE_CURVE('',#1444,#1452,#728,.T.); #1795=EDGE_CURVE('',#1453,#1453,#1180,.T.); #1796=EDGE_CURVE('',#1454,#1454,#1181,.T.); #1797=EDGE_CURVE('',#1455,#1455,#1182,.T.); #1798=EDGE_CURVE('',#1456,#1456,#1183,.T.); #1799=EDGE_CURVE('',#1457,#1457,#1184,.T.); #1800=EDGE_CURVE('',#1458,#1418,#1185,.T.); #1801=EDGE_CURVE('',#1459,#1458,#729,.T.); #1802=EDGE_CURVE('',#1420,#1459,#1186,.T.); #1803=EDGE_CURVE('',#1460,#1417,#730,.T.); #1804=EDGE_CURVE('',#1415,#1460,#731,.T.); #1805=EDGE_CURVE('',#1451,#1461,#732,.T.); #1806=EDGE_CURVE('',#1461,#1462,#1187,.T.); #1807=EDGE_CURVE('',#1452,#1462,#733,.T.); #1808=EDGE_CURVE('',#1450,#1463,#734,.T.); #1809=EDGE_CURVE('',#1463,#1461,#735,.T.); #1810=EDGE_CURVE('',#1449,#1464,#736,.T.); #1811=EDGE_CURVE('',#1464,#1463,#1188,.T.); #1812=EDGE_CURVE('',#1462,#1458,#737,.T.); #1813=EDGE_CURVE('',#1435,#1424,#738,.T.); #1814=EDGE_CURVE('',#1436,#1429,#739,.T.); #1815=EDGE_CURVE('',#1437,#1428,#740,.T.); #1816=EDGE_CURVE('',#1460,#1427,#741,.T.); #1817=EDGE_CURVE('',#1438,#1430,#742,.T.); #1818=EDGE_CURVE('',#1453,#1465,#743,.T.); #1819=EDGE_CURVE('',#1465,#1465,#1189,.T.); #1820=EDGE_CURVE('',#1454,#1466,#744,.T.); #1821=EDGE_CURVE('',#1466,#1466,#1190,.T.); #1822=EDGE_CURVE('',#1455,#1467,#745,.T.); #1823=EDGE_CURVE('',#1467,#1467,#1191,.T.); #1824=EDGE_CURVE('',#1456,#1468,#746,.T.); #1825=EDGE_CURVE('',#1468,#1468,#1192,.T.); #1826=EDGE_CURVE('',#1457,#1469,#747,.T.); #1827=EDGE_CURVE('',#1469,#1469,#1193,.T.); #1828=EDGE_CURVE('',#1448,#1470,#748,.T.); #1829=EDGE_CURVE('',#1470,#1464,#749,.T.); #1830=EDGE_CURVE('',#1431,#1426,#750,.T.); #1831=EDGE_CURVE('',#1432,#1425,#751,.T.); #1832=EDGE_CURVE('',#1459,#1471,#752,.T.); #1833=EDGE_CURVE('',#1445,#1471,#753,.T.); #1834=EDGE_CURVE('',#1471,#1472,#1194,.T.); #1835=EDGE_CURVE('',#1446,#1472,#754,.T.); #1836=EDGE_CURVE('',#1472,#1473,#755,.T.); #1837=EDGE_CURVE('',#1447,#1473,#756,.T.); #1838=EDGE_CURVE('',#1473,#1470,#1195,.T.); #1839=EDGE_CURVE('',#1474,#1475,#1196,.T.); #1840=EDGE_CURVE('',#1475,#1474,#1197,.T.); #1841=EDGE_CURVE('',#1474,#1476,#757,.T.); #1842=EDGE_CURVE('',#1476,#1476,#1198,.T.); #1843=EDGE_CURVE('',#1477,#1478,#1199,.T.); #1844=EDGE_CURVE('',#1478,#1477,#1200,.T.); #1845=EDGE_CURVE('',#1478,#1475,#758,.T.); #1846=EDGE_CURVE('',#1479,#1479,#1201,.T.); #1847=EDGE_CURVE('',#1480,#1480,#1202,.T.); #1848=EDGE_CURVE('',#1480,#1477,#759,.T.); #1849=EDGE_CURVE('',#1481,#1481,#1203,.T.); #1850=EDGE_CURVE('',#1482,#1482,#1204,.T.); #1851=EDGE_CURVE('',#1483,#1483,#1205,.T.); #1852=EDGE_CURVE('',#1483,#1482,#760,.T.); #1853=EDGE_CURVE('',#1484,#1484,#1206,.T.); #1854=EDGE_CURVE('',#1479,#1484,#761,.T.); #1855=EDGE_CURVE('',#1485,#1485,#1207,.T.); #1856=EDGE_CURVE('',#1485,#1481,#762,.T.); #1857=EDGE_CURVE('',#1486,#1486,#1208,.T.); #1858=EDGE_CURVE('',#1487,#1487,#1209,.T.); #1859=EDGE_CURVE('',#1487,#1486,#763,.T.); #1860=EDGE_CURVE('',#1488,#1488,#1210,.T.); #1861=EDGE_CURVE('',#1488,#1489,#764,.T.); #1862=EDGE_CURVE('',#1489,#1489,#1211,.T.); #1863=EDGE_CURVE('',#1490,#1490,#1212,.T.); #1864=EDGE_CURVE('',#1491,#1492,#1213,.T.); #1865=EDGE_CURVE('',#1491,#1493,#1214,.T.); #1866=EDGE_CURVE('',#1494,#1493,#1215,.T.); #1867=EDGE_CURVE('',#1495,#1494,#765,.T.); #1868=EDGE_CURVE('',#1496,#1495,#1216,.T.); #1869=EDGE_CURVE('',#1497,#1496,#766,.T.); #1870=EDGE_CURVE('',#1498,#1497,#1217,.T.); #1871=EDGE_CURVE('',#1498,#1499,#1218,.T.); #1872=EDGE_CURVE('',#1500,#1499,#1219,.T.); #1873=EDGE_CURVE('',#1501,#1500,#767,.T.); #1874=EDGE_CURVE('',#1502,#1501,#1220,.T.); #1875=EDGE_CURVE('',#1503,#1502,#768,.T.); #1876=EDGE_CURVE('',#1504,#1503,#1221,.T.); #1877=EDGE_CURVE('',#1504,#1505,#1222,.T.); #1878=EDGE_CURVE('',#1506,#1505,#1223,.T.); #1879=EDGE_CURVE('',#1507,#1506,#769,.T.); #1880=EDGE_CURVE('',#1508,#1507,#1224,.T.); #1881=EDGE_CURVE('',#1509,#1508,#770,.T.); #1882=EDGE_CURVE('',#1510,#1509,#1225,.T.); #1883=EDGE_CURVE('',#1510,#1511,#1226,.T.); #1884=EDGE_CURVE('',#1512,#1511,#1227,.T.); #1885=EDGE_CURVE('',#1513,#1512,#771,.T.); #1886=EDGE_CURVE('',#1514,#1513,#1228,.T.); #1887=EDGE_CURVE('',#1515,#1514,#772,.T.); #1888=EDGE_CURVE('',#1516,#1515,#1229,.T.); #1889=EDGE_CURVE('',#1516,#1517,#1230,.T.); #1890=EDGE_CURVE('',#1518,#1517,#1231,.T.); #1891=EDGE_CURVE('',#1519,#1518,#773,.T.); #1892=EDGE_CURVE('',#1520,#1519,#1232,.T.); #1893=EDGE_CURVE('',#1521,#1520,#774,.T.); #1894=EDGE_CURVE('',#1522,#1521,#1233,.T.); #1895=EDGE_CURVE('',#1522,#1523,#1234,.T.); #1896=EDGE_CURVE('',#1524,#1523,#1235,.T.); #1897=EDGE_CURVE('',#1525,#1524,#775,.T.); #1898=EDGE_CURVE('',#1526,#1525,#1236,.T.); #1899=EDGE_CURVE('',#1527,#1526,#776,.T.); #1900=EDGE_CURVE('',#1528,#1527,#1237,.T.); #1901=EDGE_CURVE('',#1528,#1529,#1238,.T.); #1902=EDGE_CURVE('',#1530,#1529,#1239,.T.); #1903=EDGE_CURVE('',#1531,#1530,#777,.T.); #1904=EDGE_CURVE('',#1532,#1531,#1240,.T.); #1905=EDGE_CURVE('',#1533,#1532,#778,.T.); #1906=EDGE_CURVE('',#1534,#1533,#1241,.T.); #1907=EDGE_CURVE('',#1534,#1535,#1242,.T.); #1908=EDGE_CURVE('',#1536,#1535,#1243,.T.); #1909=EDGE_CURVE('',#1537,#1536,#779,.T.); #1910=EDGE_CURVE('',#1538,#1537,#1244,.T.); #1911=EDGE_CURVE('',#1539,#1538,#780,.T.); #1912=EDGE_CURVE('',#1540,#1539,#1245,.T.); #1913=EDGE_CURVE('',#1540,#1541,#1246,.T.); #1914=EDGE_CURVE('',#1541,#1542,#1247,.T.); #1915=EDGE_CURVE('',#1543,#1542,#1248,.T.); #1916=EDGE_CURVE('',#1544,#1543,#781,.T.); #1917=EDGE_CURVE('',#1545,#1544,#1249,.T.); #1918=EDGE_CURVE('',#1492,#1545,#782,.T.); #1919=EDGE_CURVE('',#1546,#1542,#783,.T.); #1920=EDGE_CURVE('',#1541,#1490,#784,.T.); #1921=EDGE_CURVE('',#1547,#1540,#785,.T.); #1922=EDGE_CURVE('',#1548,#1547,#1250,.T.); #1923=EDGE_CURVE('',#1548,#1535,#786,.T.); #1924=EDGE_CURVE('',#1549,#1534,#787,.T.); #1925=EDGE_CURVE('',#1550,#1549,#1251,.T.); #1926=EDGE_CURVE('',#1550,#1529,#788,.T.); #1927=EDGE_CURVE('',#1551,#1528,#789,.T.); #1928=EDGE_CURVE('',#1552,#1551,#1252,.T.); #1929=EDGE_CURVE('',#1552,#1523,#790,.T.); #1930=EDGE_CURVE('',#1553,#1522,#791,.T.); #1931=EDGE_CURVE('',#1554,#1553,#1253,.T.); #1932=EDGE_CURVE('',#1554,#1517,#792,.T.); #1933=EDGE_CURVE('',#1555,#1516,#793,.T.); #1934=EDGE_CURVE('',#1556,#1555,#1254,.T.); #1935=EDGE_CURVE('',#1556,#1511,#794,.T.); #1936=EDGE_CURVE('',#1557,#1510,#795,.T.); #1937=EDGE_CURVE('',#1558,#1557,#1255,.T.); #1938=EDGE_CURVE('',#1558,#1505,#796,.T.); #1939=EDGE_CURVE('',#1559,#1504,#797,.T.); #1940=EDGE_CURVE('',#1560,#1559,#1256,.T.); #1941=EDGE_CURVE('',#1560,#1499,#798,.T.); #1942=EDGE_CURVE('',#1561,#1498,#799,.T.); #1943=EDGE_CURVE('',#1562,#1561,#1257,.T.); #1944=EDGE_CURVE('',#1562,#1493,#800,.T.); #1945=EDGE_CURVE('',#1563,#1491,#801,.T.); #1946=EDGE_CURVE('',#1546,#1563,#1258,.T.); #1947=EDGE_CURVE('',#1564,#1564,#1259,.T.); #1948=EDGE_CURVE('',#1564,#1565,#802,.T.); #1949=EDGE_CURVE('',#1565,#1565,#1260,.T.); #1950=EDGE_CURVE('',#1566,#1560,#803,.T.); #1951=EDGE_CURVE('',#1560,#1561,#1261,.T.); #1952=EDGE_CURVE('',#1567,#1561,#804,.T.); #1953=EDGE_CURVE('',#1567,#1566,#1262,.T.); #1954=EDGE_CURVE('',#1568,#1555,#805,.T.); #1955=EDGE_CURVE('',#1568,#1569,#1263,.T.); #1956=EDGE_CURVE('',#1569,#1554,#806,.T.); #1957=EDGE_CURVE('',#1554,#1555,#1264,.T.); #1958=EDGE_CURVE('',#1570,#1552,#807,.T.); #1959=EDGE_CURVE('',#1552,#1553,#1265,.T.); #1960=EDGE_CURVE('',#1571,#1553,#808,.T.); #1961=EDGE_CURVE('',#1571,#1570,#1266,.T.); #1962=EDGE_CURVE('',#1572,#1550,#809,.T.); #1963=EDGE_CURVE('',#1550,#1551,#1267,.T.); #1964=EDGE_CURVE('',#1573,#1551,#810,.T.); #1965=EDGE_CURVE('',#1573,#1572,#1268,.T.); #1966=EDGE_CURVE('',#1574,#1557,#811,.T.); #1967=EDGE_CURVE('',#1574,#1575,#1269,.T.); #1968=EDGE_CURVE('',#1575,#1556,#812,.T.); #1969=EDGE_CURVE('',#1556,#1557,#1270,.T.); #1970=EDGE_CURVE('',#1576,#1548,#813,.T.); #1971=EDGE_CURVE('',#1548,#1549,#1271,.T.); #1972=EDGE_CURVE('',#1577,#1549,#814,.T.); #1973=EDGE_CURVE('',#1577,#1576,#1272,.T.); #1974=EDGE_CURVE('',#1578,#1558,#815,.T.); #1975=EDGE_CURVE('',#1558,#1559,#1273,.T.); #1976=EDGE_CURVE('',#1579,#1559,#816,.T.); #1977=EDGE_CURVE('',#1579,#1578,#1274,.T.); #1978=EDGE_CURVE('',#1580,#1546,#817,.T.); #1979=EDGE_CURVE('',#1546,#1547,#1275,.T.); #1980=EDGE_CURVE('',#1581,#1547,#818,.T.); #1981=EDGE_CURVE('',#1581,#1580,#1276,.T.); #1982=EDGE_CURVE('',#1582,#1563,#819,.T.); #1983=EDGE_CURVE('',#1582,#1583,#1277,.T.); #1984=EDGE_CURVE('',#1583,#1562,#820,.T.); #1985=EDGE_CURVE('',#1562,#1563,#1278,.T.); #1986=EDGE_CURVE('',#1584,#1494,#821,.T.); #1987=EDGE_CURVE('',#1584,#1585,#822,.T.); #1988=EDGE_CURVE('',#1585,#1495,#823,.T.); #1989=EDGE_CURVE('',#1583,#1584,#1279,.T.); #1990=EDGE_CURVE('',#1586,#1497,#824,.T.); #1991=EDGE_CURVE('',#1586,#1567,#1280,.T.); #1992=EDGE_CURVE('',#1587,#1496,#825,.T.); #1993=EDGE_CURVE('',#1587,#1586,#826,.T.); #1994=EDGE_CURVE('',#1585,#1587,#1281,.T.); #1995=EDGE_CURVE('',#1566,#1588,#1282,.T.); #1996=EDGE_CURVE('',#1588,#1500,#827,.T.); #1997=EDGE_CURVE('',#1589,#1503,#828,.T.); #1998=EDGE_CURVE('',#1589,#1579,#1283,.T.); #1999=EDGE_CURVE('',#1590,#1502,#829,.T.); #2000=EDGE_CURVE('',#1590,#1589,#830,.T.); #2001=EDGE_CURVE('',#1591,#1501,#831,.T.); #2002=EDGE_CURVE('',#1591,#1590,#1284,.T.); #2003=EDGE_CURVE('',#1588,#1591,#832,.T.); #2004=EDGE_CURVE('',#1569,#1592,#1285,.T.); #2005=EDGE_CURVE('',#1592,#1518,#833,.T.); #2006=EDGE_CURVE('',#1593,#1521,#834,.T.); #2007=EDGE_CURVE('',#1593,#1571,#1286,.T.); #2008=EDGE_CURVE('',#1594,#1520,#835,.T.); #2009=EDGE_CURVE('',#1594,#1593,#836,.T.); #2010=EDGE_CURVE('',#1595,#1519,#837,.T.); #2011=EDGE_CURVE('',#1595,#1594,#1287,.T.); #2012=EDGE_CURVE('',#1592,#1595,#838,.T.); #2013=EDGE_CURVE('',#1570,#1596,#1288,.T.); #2014=EDGE_CURVE('',#1596,#1524,#839,.T.); #2015=EDGE_CURVE('',#1597,#1527,#840,.T.); #2016=EDGE_CURVE('',#1597,#1573,#1289,.T.); #2017=EDGE_CURVE('',#1598,#1526,#841,.T.); #2018=EDGE_CURVE('',#1598,#1597,#842,.T.); #2019=EDGE_CURVE('',#1599,#1525,#843,.T.); #2020=EDGE_CURVE('',#1599,#1598,#1290,.T.); #2021=EDGE_CURVE('',#1596,#1599,#844,.T.); #2022=EDGE_CURVE('',#1572,#1600,#1291,.T.); #2023=EDGE_CURVE('',#1600,#1530,#845,.T.); #2024=EDGE_CURVE('',#1601,#1533,#846,.T.); #2025=EDGE_CURVE('',#1601,#1577,#1292,.T.); #2026=EDGE_CURVE('',#1602,#1532,#847,.T.); #2027=EDGE_CURVE('',#1602,#1601,#848,.T.); #2028=EDGE_CURVE('',#1603,#1531,#849,.T.); #2029=EDGE_CURVE('',#1603,#1602,#1293,.T.); #2030=EDGE_CURVE('',#1600,#1603,#850,.T.); #2031=EDGE_CURVE('',#1604,#1513,#851,.T.); #2032=EDGE_CURVE('',#1604,#1605,#1294,.T.); #2033=EDGE_CURVE('',#1605,#1514,#852,.T.); #2034=EDGE_CURVE('',#1606,#1512,#853,.T.); #2035=EDGE_CURVE('',#1606,#1604,#854,.T.); #2036=EDGE_CURVE('',#1575,#1606,#1295,.T.); #2037=EDGE_CURVE('',#1607,#1515,#855,.T.); #2038=EDGE_CURVE('',#1607,#1568,#1296,.T.); #2039=EDGE_CURVE('',#1605,#1607,#856,.T.); #2040=EDGE_CURVE('',#1576,#1608,#1297,.T.); #2041=EDGE_CURVE('',#1608,#1536,#857,.T.); #2042=EDGE_CURVE('',#1609,#1539,#858,.T.); #2043=EDGE_CURVE('',#1609,#1581,#1298,.T.); #2044=EDGE_CURVE('',#1610,#1538,#859,.T.); #2045=EDGE_CURVE('',#1610,#1609,#860,.T.); #2046=EDGE_CURVE('',#1611,#1537,#861,.T.); #2047=EDGE_CURVE('',#1611,#1610,#1299,.T.); #2048=EDGE_CURVE('',#1608,#1611,#862,.T.); #2049=EDGE_CURVE('',#1612,#1507,#863,.T.); #2050=EDGE_CURVE('',#1612,#1613,#1300,.T.); #2051=EDGE_CURVE('',#1613,#1508,#864,.T.); #2052=EDGE_CURVE('',#1614,#1506,#865,.T.); #2053=EDGE_CURVE('',#1614,#1612,#866,.T.); #2054=EDGE_CURVE('',#1578,#1614,#1301,.T.); #2055=EDGE_CURVE('',#1615,#1509,#867,.T.); #2056=EDGE_CURVE('',#1615,#1574,#1302,.T.); #2057=EDGE_CURVE('',#1613,#1615,#868,.T.); #2058=EDGE_CURVE('',#1616,#1492,#869,.T.); #2059=EDGE_CURVE('',#1616,#1582,#1303,.T.); #2060=EDGE_CURVE('',#1617,#1545,#870,.T.); #2061=EDGE_CURVE('',#1617,#1616,#871,.T.); #2062=EDGE_CURVE('',#1618,#1544,#872,.T.); #2063=EDGE_CURVE('',#1618,#1617,#1304,.T.); #2064=EDGE_CURVE('',#1619,#1543,#873,.T.); #2065=EDGE_CURVE('',#1619,#1618,#874,.T.); #2066=EDGE_CURVE('',#1580,#1619,#1305,.T.); #2067=EDGE_CURVE('',#1620,#1620,#1306,.T.); #2068=EDGE_CURVE('',#1621,#1621,#1307,.T.); #2069=EDGE_CURVE('',#1621,#1620,#875,.T.); #2070=EDGE_CURVE('',#1622,#1622,#1308,.T.); #2071=EDGE_CURVE('',#1622,#1623,#876,.T.); #2072=EDGE_CURVE('',#1623,#1623,#1309,.T.); #2073=EDGE_CURVE('',#1624,#1624,#1310,.T.); #2074=EDGE_CURVE('',#1625,#1625,#1311,.T.); #2075=EDGE_CURVE('',#1625,#1624,#877,.T.); #2076=EDGE_CURVE('',#1626,#1626,#1312,.T.); #2077=EDGE_CURVE('',#1626,#1627,#1313,.T.); #2078=EDGE_CURVE('',#1627,#1628,#1314,.T.); #2079=EDGE_CURVE('',#1628,#1627,#1315,.T.); #2080=EDGE_CURVE('',#1629,#1629,#1316,.T.); #2081=EDGE_CURVE('',#1630,#1631,#1317,.T.); #2082=EDGE_CURVE('',#1630,#1628,#878,.T.); #2083=EDGE_CURVE('',#1631,#1630,#1318,.T.); #2084=EDGE_CURVE('',#1629,#1632,#1319,.T.); #2085=EDGE_CURVE('',#1632,#1633,#1320,.T.); #2086=EDGE_CURVE('',#1633,#1632,#1321,.T.); #2087=EDGE_CURVE('',#1633,#1634,#879,.T.); #2088=EDGE_CURVE('',#1635,#1634,#1322,.T.); #2089=EDGE_CURVE('',#1634,#1635,#1323,.T.); #2090=EDGE_CURVE('',#1636,#1637,#1324,.T.); #2091=EDGE_CURVE('',#1637,#1638,#1325,.T.); #2092=EDGE_CURVE('',#1638,#1638,#1326,.T.); #2093=EDGE_CURVE('',#1637,#1636,#1327,.T.); #2094=EDGE_CURVE('',#1639,#1639,#1328,.T.); #2095=EDGE_CURVE('',#1636,#1640,#880,.T.); #2096=EDGE_CURVE('',#1640,#1641,#1329,.T.); #2097=EDGE_CURVE('',#1641,#1640,#1330,.T.); #2098=EDGE_CURVE('',#1635,#1639,#1331,.T.); #2099=EDGE_CURVE('',#1642,#1642,#1332,.T.); #2100=EDGE_CURVE('',#1642,#1643,#881,.T.); #2101=EDGE_CURVE('',#1643,#1644,#1333,.T.); #2102=EDGE_CURVE('',#1644,#1643,#1334,.T.); #2103=EDGE_CURVE('',#1645,#1646,#1335,.T.); #2104=EDGE_CURVE('',#1646,#1645,#1336,.T.); #2105=EDGE_CURVE('',#1646,#1644,#882,.T.); #2106=EDGE_CURVE('',#1647,#1647,#1337,.T.); #2107=EDGE_CURVE('',#1645,#1648,#883,.T.); #2108=EDGE_CURVE('',#1648,#1648,#1338,.T.); #2109=EDGE_CURVE('',#1649,#1649,#1339,.T.); #2110=EDGE_CURVE('',#1649,#1650,#884,.T.); #2111=EDGE_CURVE('',#1650,#1650,#1340,.T.); #2112=EDGE_CURVE('',#1651,#1651,#1341,.T.); #2113=EDGE_CURVE('',#1651,#1647,#885,.T.); #2114=EDGE_CURVE('',#1652,#1652,#1342,.T.); #2115=EDGE_CURVE('',#1653,#1654,#1343,.T.); #2116=EDGE_CURVE('',#1653,#1652,#886,.T.); #2117=EDGE_CURVE('',#1654,#1653,#1344,.T.); #2118=EDGE_CURVE('',#1655,#1655,#1345,.T.); #2119=EDGE_CURVE('',#1655,#1654,#1346,.T.); #2120=EDGE_CURVE('',#1656,#1656,#1347,.T.); #2121=EDGE_CURVE('',#1656,#1641,#1348,.T.); #2122=EDGE_CURVE('',#1631,#1657,#1349,.T.); #2123=EDGE_CURVE('',#1657,#1657,#1350,.T.); #2124=EDGE_CURVE('',#1658,#1658,#1351,.T.); #2125=EDGE_CURVE('',#1659,#1660,#1352,.T.); #2126=EDGE_CURVE('',#1660,#1659,#1353,.T.); #2127=EDGE_CURVE('',#1660,#1658,#1354,.T.); #2128=EDGE_CURVE('',#1661,#1661,#1355,.T.); #2129=EDGE_CURVE('',#1661,#1659,#887,.T.); #2130=ORIENTED_EDGE('',*,*,#1662,.F.); #2131=ORIENTED_EDGE('',*,*,#1663,.T.); #2132=ORIENTED_EDGE('',*,*,#1664,.T.); #2133=ORIENTED_EDGE('',*,*,#1663,.F.); #2134=ORIENTED_EDGE('',*,*,#1664,.F.); #2135=ORIENTED_EDGE('',*,*,#1665,.F.); #2136=ORIENTED_EDGE('',*,*,#1666,.T.); #2137=ORIENTED_EDGE('',*,*,#1667,.T.); #2138=ORIENTED_EDGE('',*,*,#1668,.F.); #2139=ORIENTED_EDGE('',*,*,#1669,.F.); #2140=ORIENTED_EDGE('',*,*,#1668,.T.); #2141=ORIENTED_EDGE('',*,*,#1670,.T.); #2142=ORIENTED_EDGE('',*,*,#1671,.F.); #2143=ORIENTED_EDGE('',*,*,#1672,.F.); #2144=ORIENTED_EDGE('',*,*,#1671,.T.); #2145=ORIENTED_EDGE('',*,*,#1673,.T.); #2146=ORIENTED_EDGE('',*,*,#1674,.F.); #2147=ORIENTED_EDGE('',*,*,#1675,.F.); #2148=ORIENTED_EDGE('',*,*,#1674,.T.); #2149=ORIENTED_EDGE('',*,*,#1676,.T.); #2150=ORIENTED_EDGE('',*,*,#1677,.F.); #2151=ORIENTED_EDGE('',*,*,#1678,.F.); #2152=ORIENTED_EDGE('',*,*,#1677,.T.); #2153=ORIENTED_EDGE('',*,*,#1679,.T.); #2154=ORIENTED_EDGE('',*,*,#1680,.F.); #2155=ORIENTED_EDGE('',*,*,#1681,.F.); #2156=ORIENTED_EDGE('',*,*,#1680,.T.); #2157=ORIENTED_EDGE('',*,*,#1682,.T.); #2158=ORIENTED_EDGE('',*,*,#1683,.F.); #2159=ORIENTED_EDGE('',*,*,#1684,.F.); #2160=ORIENTED_EDGE('',*,*,#1683,.T.); #2161=ORIENTED_EDGE('',*,*,#1685,.T.); #2162=ORIENTED_EDGE('',*,*,#1686,.F.); #2163=ORIENTED_EDGE('',*,*,#1687,.F.); #2164=ORIENTED_EDGE('',*,*,#1686,.T.); #2165=ORIENTED_EDGE('',*,*,#1688,.T.); #2166=ORIENTED_EDGE('',*,*,#1689,.F.); #2167=ORIENTED_EDGE('',*,*,#1690,.F.); #2168=ORIENTED_EDGE('',*,*,#1689,.T.); #2169=ORIENTED_EDGE('',*,*,#1691,.T.); #2170=ORIENTED_EDGE('',*,*,#1692,.F.); #2171=ORIENTED_EDGE('',*,*,#1693,.F.); #2172=ORIENTED_EDGE('',*,*,#1692,.T.); #2173=ORIENTED_EDGE('',*,*,#1694,.T.); #2174=ORIENTED_EDGE('',*,*,#1695,.F.); #2175=ORIENTED_EDGE('',*,*,#1696,.F.); #2176=ORIENTED_EDGE('',*,*,#1695,.T.); #2177=ORIENTED_EDGE('',*,*,#1697,.T.); #2178=ORIENTED_EDGE('',*,*,#1666,.F.); #2179=ORIENTED_EDGE('',*,*,#1698,.F.); #2180=ORIENTED_EDGE('',*,*,#1699,.T.); #2181=ORIENTED_EDGE('',*,*,#1700,.T.); #2182=ORIENTED_EDGE('',*,*,#1701,.F.); #2183=ORIENTED_EDGE('',*,*,#1702,.F.); #2184=ORIENTED_EDGE('',*,*,#1703,.T.); #2185=ORIENTED_EDGE('',*,*,#1704,.T.); #2186=ORIENTED_EDGE('',*,*,#1699,.F.); #2187=ORIENTED_EDGE('',*,*,#1705,.F.); #2188=ORIENTED_EDGE('',*,*,#1706,.T.); #2189=ORIENTED_EDGE('',*,*,#1707,.T.); #2190=ORIENTED_EDGE('',*,*,#1703,.F.); #2191=ORIENTED_EDGE('',*,*,#1708,.F.); #2192=ORIENTED_EDGE('',*,*,#1709,.T.); #2193=ORIENTED_EDGE('',*,*,#1710,.T.); #2194=ORIENTED_EDGE('',*,*,#1706,.F.); #2195=ORIENTED_EDGE('',*,*,#1711,.F.); #2196=ORIENTED_EDGE('',*,*,#1712,.T.); #2197=ORIENTED_EDGE('',*,*,#1713,.T.); #2198=ORIENTED_EDGE('',*,*,#1709,.F.); #2199=ORIENTED_EDGE('',*,*,#1714,.F.); #2200=ORIENTED_EDGE('',*,*,#1715,.T.); #2201=ORIENTED_EDGE('',*,*,#1716,.T.); #2202=ORIENTED_EDGE('',*,*,#1712,.F.); #2203=ORIENTED_EDGE('',*,*,#1717,.F.); #2204=ORIENTED_EDGE('',*,*,#1701,.T.); #2205=ORIENTED_EDGE('',*,*,#1718,.T.); #2206=ORIENTED_EDGE('',*,*,#1715,.F.); #2207=ORIENTED_EDGE('',*,*,#1718,.F.); #2208=ORIENTED_EDGE('',*,*,#1700,.F.); #2209=ORIENTED_EDGE('',*,*,#1704,.F.); #2210=ORIENTED_EDGE('',*,*,#1707,.F.); #2211=ORIENTED_EDGE('',*,*,#1710,.F.); #2212=ORIENTED_EDGE('',*,*,#1713,.F.); #2213=ORIENTED_EDGE('',*,*,#1716,.F.); #2214=ORIENTED_EDGE('',*,*,#1667,.F.); #2215=ORIENTED_EDGE('',*,*,#1697,.F.); #2216=ORIENTED_EDGE('',*,*,#1694,.F.); #2217=ORIENTED_EDGE('',*,*,#1691,.F.); #2218=ORIENTED_EDGE('',*,*,#1688,.F.); #2219=ORIENTED_EDGE('',*,*,#1685,.F.); #2220=ORIENTED_EDGE('',*,*,#1682,.F.); #2221=ORIENTED_EDGE('',*,*,#1679,.F.); #2222=ORIENTED_EDGE('',*,*,#1676,.F.); #2223=ORIENTED_EDGE('',*,*,#1673,.F.); #2224=ORIENTED_EDGE('',*,*,#1670,.F.); #2225=ORIENTED_EDGE('',*,*,#1719,.F.); #2226=ORIENTED_EDGE('',*,*,#1720,.F.); #2227=ORIENTED_EDGE('',*,*,#1721,.F.); #2228=ORIENTED_EDGE('',*,*,#1722,.F.); #2229=ORIENTED_EDGE('',*,*,#1723,.T.); #2230=ORIENTED_EDGE('',*,*,#1724,.T.); #2231=ORIENTED_EDGE('',*,*,#1725,.T.); #2232=ORIENTED_EDGE('',*,*,#1698,.T.); #2233=ORIENTED_EDGE('',*,*,#1717,.T.); #2234=ORIENTED_EDGE('',*,*,#1714,.T.); #2235=ORIENTED_EDGE('',*,*,#1711,.T.); #2236=ORIENTED_EDGE('',*,*,#1708,.T.); #2237=ORIENTED_EDGE('',*,*,#1705,.T.); #2238=ORIENTED_EDGE('',*,*,#1702,.T.); #2239=ORIENTED_EDGE('',*,*,#1726,.T.); #2240=ORIENTED_EDGE('',*,*,#1727,.T.); #2241=ORIENTED_EDGE('',*,*,#1728,.T.); #2242=ORIENTED_EDGE('',*,*,#1729,.T.); #2243=ORIENTED_EDGE('',*,*,#1727,.F.); #2244=ORIENTED_EDGE('',*,*,#1728,.F.); #2245=ORIENTED_EDGE('',*,*,#1729,.F.); #2246=ORIENTED_EDGE('',*,*,#1730,.T.); #2247=ORIENTED_EDGE('',*,*,#1723,.F.); #2248=ORIENTED_EDGE('',*,*,#1730,.F.); #2249=ORIENTED_EDGE('',*,*,#1731,.F.); #2250=ORIENTED_EDGE('',*,*,#1732,.F.); #2251=ORIENTED_EDGE('',*,*,#1733,.F.); #2252=ORIENTED_EDGE('',*,*,#1734,.F.); #2253=ORIENTED_EDGE('',*,*,#1735,.T.); #2254=ORIENTED_EDGE('',*,*,#1736,.T.); #2255=ORIENTED_EDGE('',*,*,#1726,.F.); #2256=ORIENTED_EDGE('',*,*,#1736,.F.); #2257=ORIENTED_EDGE('',*,*,#1737,.T.); #2258=ORIENTED_EDGE('',*,*,#1725,.F.); #2259=ORIENTED_EDGE('',*,*,#1737,.F.); #2260=ORIENTED_EDGE('',*,*,#1735,.F.); #2261=ORIENTED_EDGE('',*,*,#1738,.T.); #2262=ORIENTED_EDGE('',*,*,#1724,.F.); #2263=ORIENTED_EDGE('',*,*,#1738,.F.); #2264=ORIENTED_EDGE('',*,*,#1731,.T.); #2265=ORIENTED_EDGE('',*,*,#1739,.F.); #2266=ORIENTED_EDGE('',*,*,#1720,.T.); #2267=ORIENTED_EDGE('',*,*,#1740,.T.); #2268=ORIENTED_EDGE('',*,*,#1734,.T.); #2269=ORIENTED_EDGE('',*,*,#1741,.F.); #2270=ORIENTED_EDGE('',*,*,#1721,.T.); #2271=ORIENTED_EDGE('',*,*,#1739,.T.); #2272=ORIENTED_EDGE('',*,*,#1733,.T.); #2273=ORIENTED_EDGE('',*,*,#1742,.F.); #2274=ORIENTED_EDGE('',*,*,#1722,.T.); #2275=ORIENTED_EDGE('',*,*,#1741,.T.); #2276=ORIENTED_EDGE('',*,*,#1732,.T.); #2277=ORIENTED_EDGE('',*,*,#1740,.F.); #2278=ORIENTED_EDGE('',*,*,#1719,.T.); #2279=ORIENTED_EDGE('',*,*,#1742,.T.); #2280=ORIENTED_EDGE('',*,*,#1665,.T.); #2281=ORIENTED_EDGE('',*,*,#1669,.T.); #2282=ORIENTED_EDGE('',*,*,#1672,.T.); #2283=ORIENTED_EDGE('',*,*,#1675,.T.); #2284=ORIENTED_EDGE('',*,*,#1678,.T.); #2285=ORIENTED_EDGE('',*,*,#1681,.T.); #2286=ORIENTED_EDGE('',*,*,#1684,.T.); #2287=ORIENTED_EDGE('',*,*,#1687,.T.); #2288=ORIENTED_EDGE('',*,*,#1690,.T.); #2289=ORIENTED_EDGE('',*,*,#1693,.T.); #2290=ORIENTED_EDGE('',*,*,#1696,.T.); #2291=ORIENTED_EDGE('',*,*,#1662,.T.); #2292=ORIENTED_EDGE('',*,*,#1743,.T.); #2293=ORIENTED_EDGE('',*,*,#1744,.F.); #2294=ORIENTED_EDGE('',*,*,#1745,.T.); #2295=ORIENTED_EDGE('',*,*,#1746,.T.); #2296=ORIENTED_EDGE('',*,*,#1747,.T.); #2297=ORIENTED_EDGE('',*,*,#1748,.T.); #2298=ORIENTED_EDGE('',*,*,#1746,.F.); #2299=ORIENTED_EDGE('',*,*,#1749,.T.); #2300=ORIENTED_EDGE('',*,*,#1750,.F.); #2301=ORIENTED_EDGE('',*,*,#1751,.F.); #2302=ORIENTED_EDGE('',*,*,#1752,.F.); #2303=ORIENTED_EDGE('',*,*,#1753,.F.); #2304=ORIENTED_EDGE('',*,*,#1754,.F.); #2305=ORIENTED_EDGE('',*,*,#1755,.T.); #2306=ORIENTED_EDGE('',*,*,#1756,.F.); #2307=ORIENTED_EDGE('',*,*,#1755,.F.); #2308=ORIENTED_EDGE('',*,*,#1757,.F.); #2309=ORIENTED_EDGE('',*,*,#1758,.T.); #2310=ORIENTED_EDGE('',*,*,#1759,.F.); #2311=ORIENTED_EDGE('',*,*,#1758,.F.); #2312=ORIENTED_EDGE('',*,*,#1760,.F.); #2313=ORIENTED_EDGE('',*,*,#1761,.F.); #2314=ORIENTED_EDGE('',*,*,#1762,.F.); #2315=ORIENTED_EDGE('',*,*,#1743,.F.); #2316=ORIENTED_EDGE('',*,*,#1763,.F.); #2317=ORIENTED_EDGE('',*,*,#1764,.F.); #2318=ORIENTED_EDGE('',*,*,#1765,.F.); #2319=ORIENTED_EDGE('',*,*,#1766,.F.); #2320=ORIENTED_EDGE('',*,*,#1767,.F.); #2321=ORIENTED_EDGE('',*,*,#1745,.F.); #2322=ORIENTED_EDGE('',*,*,#1768,.F.); #2323=ORIENTED_EDGE('',*,*,#1769,.F.); #2324=ORIENTED_EDGE('',*,*,#1770,.F.); #2325=ORIENTED_EDGE('',*,*,#1771,.T.); #2326=ORIENTED_EDGE('',*,*,#1772,.F.); #2327=ORIENTED_EDGE('',*,*,#1773,.F.); #2328=ORIENTED_EDGE('',*,*,#1774,.F.); #2329=ORIENTED_EDGE('',*,*,#1775,.F.); #2330=ORIENTED_EDGE('',*,*,#1749,.F.); #2331=ORIENTED_EDGE('',*,*,#1776,.F.); #2332=ORIENTED_EDGE('',*,*,#1777,.F.); #2333=ORIENTED_EDGE('',*,*,#1778,.T.); #2334=ORIENTED_EDGE('',*,*,#1779,.F.); #2335=ORIENTED_EDGE('',*,*,#1771,.F.); #2336=ORIENTED_EDGE('',*,*,#1780,.F.); #2337=ORIENTED_EDGE('',*,*,#1781,.T.); #2338=ORIENTED_EDGE('',*,*,#1782,.F.); #2339=ORIENTED_EDGE('',*,*,#1778,.F.); #2340=ORIENTED_EDGE('',*,*,#1783,.F.); #2341=ORIENTED_EDGE('',*,*,#1784,.T.); #2342=ORIENTED_EDGE('',*,*,#1785,.F.); #2343=ORIENTED_EDGE('',*,*,#1781,.F.); #2344=ORIENTED_EDGE('',*,*,#1786,.F.); #2345=ORIENTED_EDGE('',*,*,#1787,.F.); #2346=ORIENTED_EDGE('',*,*,#1788,.F.); #2347=ORIENTED_EDGE('',*,*,#1789,.F.); #2348=ORIENTED_EDGE('',*,*,#1790,.F.); #2349=ORIENTED_EDGE('',*,*,#1791,.F.); #2350=ORIENTED_EDGE('',*,*,#1792,.F.); #2351=ORIENTED_EDGE('',*,*,#1793,.F.); #2352=ORIENTED_EDGE('',*,*,#1794,.F.); #2353=ORIENTED_EDGE('',*,*,#1784,.F.); #2354=ORIENTED_EDGE('',*,*,#1795,.F.); #2355=ORIENTED_EDGE('',*,*,#1796,.F.); #2356=ORIENTED_EDGE('',*,*,#1797,.F.); #2357=ORIENTED_EDGE('',*,*,#1798,.F.); #2358=ORIENTED_EDGE('',*,*,#1799,.F.); #2359=ORIENTED_EDGE('',*,*,#1800,.F.); #2360=ORIENTED_EDGE('',*,*,#1801,.F.); #2361=ORIENTED_EDGE('',*,*,#1802,.F.); #2362=ORIENTED_EDGE('',*,*,#1753,.T.); #2363=ORIENTED_EDGE('',*,*,#1751,.T.); #2364=ORIENTED_EDGE('',*,*,#1803,.F.); #2365=ORIENTED_EDGE('',*,*,#1804,.F.); #2366=ORIENTED_EDGE('',*,*,#1747,.F.); #2367=ORIENTED_EDGE('',*,*,#1793,.T.); #2368=ORIENTED_EDGE('',*,*,#1805,.T.); #2369=ORIENTED_EDGE('',*,*,#1806,.T.); #2370=ORIENTED_EDGE('',*,*,#1807,.F.); #2371=ORIENTED_EDGE('',*,*,#1792,.T.); #2372=ORIENTED_EDGE('',*,*,#1808,.T.); #2373=ORIENTED_EDGE('',*,*,#1809,.T.); #2374=ORIENTED_EDGE('',*,*,#1805,.F.); #2375=ORIENTED_EDGE('',*,*,#1791,.T.); #2376=ORIENTED_EDGE('',*,*,#1810,.T.); #2377=ORIENTED_EDGE('',*,*,#1811,.T.); #2378=ORIENTED_EDGE('',*,*,#1808,.F.); #2379=ORIENTED_EDGE('',*,*,#1772,.T.); #2380=ORIENTED_EDGE('',*,*,#1779,.T.); #2381=ORIENTED_EDGE('',*,*,#1782,.T.); #2382=ORIENTED_EDGE('',*,*,#1785,.T.); #2383=ORIENTED_EDGE('',*,*,#1794,.T.); #2384=ORIENTED_EDGE('',*,*,#1807,.T.); #2385=ORIENTED_EDGE('',*,*,#1812,.T.); #2386=ORIENTED_EDGE('',*,*,#1800,.T.); #2387=ORIENTED_EDGE('',*,*,#1752,.T.); #2388=ORIENTED_EDGE('',*,*,#1756,.T.); #2389=ORIENTED_EDGE('',*,*,#1759,.T.); #2390=ORIENTED_EDGE('',*,*,#1813,.F.); #2391=ORIENTED_EDGE('',*,*,#1773,.T.); #2392=ORIENTED_EDGE('',*,*,#1813,.T.); #2393=ORIENTED_EDGE('',*,*,#1766,.T.); #2394=ORIENTED_EDGE('',*,*,#1814,.F.); #2395=ORIENTED_EDGE('',*,*,#1774,.T.); #2396=ORIENTED_EDGE('',*,*,#1814,.T.); #2397=ORIENTED_EDGE('',*,*,#1765,.T.); #2398=ORIENTED_EDGE('',*,*,#1815,.F.); #2399=ORIENTED_EDGE('',*,*,#1764,.T.); #2400=ORIENTED_EDGE('',*,*,#1816,.F.); #2401=ORIENTED_EDGE('',*,*,#1803,.T.); #2402=ORIENTED_EDGE('',*,*,#1750,.T.); #2403=ORIENTED_EDGE('',*,*,#1775,.T.); #2404=ORIENTED_EDGE('',*,*,#1815,.T.); #2405=ORIENTED_EDGE('',*,*,#1776,.T.); #2406=ORIENTED_EDGE('',*,*,#1817,.T.); #2407=ORIENTED_EDGE('',*,*,#1767,.T.); #2408=ORIENTED_EDGE('',*,*,#1817,.F.); #2409=ORIENTED_EDGE('',*,*,#1795,.T.); #2410=ORIENTED_EDGE('',*,*,#1818,.T.); #2411=ORIENTED_EDGE('',*,*,#1819,.F.); #2412=ORIENTED_EDGE('',*,*,#1818,.F.); #2413=ORIENTED_EDGE('',*,*,#1796,.T.); #2414=ORIENTED_EDGE('',*,*,#1820,.T.); #2415=ORIENTED_EDGE('',*,*,#1821,.F.); #2416=ORIENTED_EDGE('',*,*,#1820,.F.); #2417=ORIENTED_EDGE('',*,*,#1797,.T.); #2418=ORIENTED_EDGE('',*,*,#1822,.T.); #2419=ORIENTED_EDGE('',*,*,#1823,.F.); #2420=ORIENTED_EDGE('',*,*,#1822,.F.); #2421=ORIENTED_EDGE('',*,*,#1798,.T.); #2422=ORIENTED_EDGE('',*,*,#1824,.T.); #2423=ORIENTED_EDGE('',*,*,#1825,.F.); #2424=ORIENTED_EDGE('',*,*,#1824,.F.); #2425=ORIENTED_EDGE('',*,*,#1799,.T.); #2426=ORIENTED_EDGE('',*,*,#1826,.T.); #2427=ORIENTED_EDGE('',*,*,#1827,.F.); #2428=ORIENTED_EDGE('',*,*,#1826,.F.); #2429=ORIENTED_EDGE('',*,*,#1790,.T.); #2430=ORIENTED_EDGE('',*,*,#1828,.T.); #2431=ORIENTED_EDGE('',*,*,#1829,.T.); #2432=ORIENTED_EDGE('',*,*,#1810,.F.); #2433=ORIENTED_EDGE('',*,*,#1763,.T.); #2434=ORIENTED_EDGE('',*,*,#1748,.F.); #2435=ORIENTED_EDGE('',*,*,#1804,.T.); #2436=ORIENTED_EDGE('',*,*,#1816,.T.); #2437=ORIENTED_EDGE('',*,*,#1768,.T.); #2438=ORIENTED_EDGE('',*,*,#1744,.T.); #2439=ORIENTED_EDGE('',*,*,#1762,.T.); #2440=ORIENTED_EDGE('',*,*,#1830,.F.); #2441=ORIENTED_EDGE('',*,*,#1769,.T.); #2442=ORIENTED_EDGE('',*,*,#1830,.T.); #2443=ORIENTED_EDGE('',*,*,#1761,.T.); #2444=ORIENTED_EDGE('',*,*,#1831,.F.); #2445=ORIENTED_EDGE('',*,*,#1770,.T.); #2446=ORIENTED_EDGE('',*,*,#1831,.T.); #2447=ORIENTED_EDGE('',*,*,#1760,.T.); #2448=ORIENTED_EDGE('',*,*,#1757,.T.); #2449=ORIENTED_EDGE('',*,*,#1754,.T.); #2450=ORIENTED_EDGE('',*,*,#1802,.T.); #2451=ORIENTED_EDGE('',*,*,#1832,.T.); #2452=ORIENTED_EDGE('',*,*,#1833,.F.); #2453=ORIENTED_EDGE('',*,*,#1786,.T.); #2454=ORIENTED_EDGE('',*,*,#1783,.T.); #2455=ORIENTED_EDGE('',*,*,#1780,.T.); #2456=ORIENTED_EDGE('',*,*,#1777,.T.); #2457=ORIENTED_EDGE('',*,*,#1787,.T.); #2458=ORIENTED_EDGE('',*,*,#1833,.T.); #2459=ORIENTED_EDGE('',*,*,#1834,.T.); #2460=ORIENTED_EDGE('',*,*,#1835,.F.); #2461=ORIENTED_EDGE('',*,*,#1788,.T.); #2462=ORIENTED_EDGE('',*,*,#1835,.T.); #2463=ORIENTED_EDGE('',*,*,#1836,.T.); #2464=ORIENTED_EDGE('',*,*,#1837,.F.); #2465=ORIENTED_EDGE('',*,*,#1789,.T.); #2466=ORIENTED_EDGE('',*,*,#1837,.T.); #2467=ORIENTED_EDGE('',*,*,#1838,.T.); #2468=ORIENTED_EDGE('',*,*,#1828,.F.); #2469=ORIENTED_EDGE('',*,*,#1801,.T.); #2470=ORIENTED_EDGE('',*,*,#1812,.F.); #2471=ORIENTED_EDGE('',*,*,#1806,.F.); #2472=ORIENTED_EDGE('',*,*,#1809,.F.); #2473=ORIENTED_EDGE('',*,*,#1811,.F.); #2474=ORIENTED_EDGE('',*,*,#1829,.F.); #2475=ORIENTED_EDGE('',*,*,#1838,.F.); #2476=ORIENTED_EDGE('',*,*,#1836,.F.); #2477=ORIENTED_EDGE('',*,*,#1834,.F.); #2478=ORIENTED_EDGE('',*,*,#1832,.F.); #2479=ORIENTED_EDGE('',*,*,#1819,.T.); #2480=ORIENTED_EDGE('',*,*,#1821,.T.); #2481=ORIENTED_EDGE('',*,*,#1823,.T.); #2482=ORIENTED_EDGE('',*,*,#1825,.T.); #2483=ORIENTED_EDGE('',*,*,#1827,.T.); #2484=ORIENTED_EDGE('',*,*,#1839,.T.); #2485=ORIENTED_EDGE('',*,*,#1840,.T.); #2486=ORIENTED_EDGE('',*,*,#1841,.T.); #2487=ORIENTED_EDGE('',*,*,#1842,.T.); #2488=ORIENTED_EDGE('',*,*,#1841,.F.); #2489=ORIENTED_EDGE('',*,*,#1843,.F.); #2490=ORIENTED_EDGE('',*,*,#1844,.F.); #2491=ORIENTED_EDGE('',*,*,#1845,.T.); #2492=ORIENTED_EDGE('',*,*,#1839,.F.); #2493=ORIENTED_EDGE('',*,*,#1840,.F.); #2494=ORIENTED_EDGE('',*,*,#1845,.F.); #2495=ORIENTED_EDGE('',*,*,#1842,.F.); #2496=ORIENTED_EDGE('',*,*,#1846,.T.); #2497=ORIENTED_EDGE('',*,*,#1847,.T.); #2498=ORIENTED_EDGE('',*,*,#1848,.T.); #2499=ORIENTED_EDGE('',*,*,#1843,.T.); #2500=ORIENTED_EDGE('',*,*,#1844,.T.); #2501=ORIENTED_EDGE('',*,*,#1848,.F.); #2502=ORIENTED_EDGE('',*,*,#1847,.F.); #2503=ORIENTED_EDGE('',*,*,#1849,.F.); #2504=ORIENTED_EDGE('',*,*,#1850,.F.); #2505=ORIENTED_EDGE('',*,*,#1851,.F.); #2506=ORIENTED_EDGE('',*,*,#1852,.T.); #2507=ORIENTED_EDGE('',*,*,#1850,.T.); #2508=ORIENTED_EDGE('',*,*,#1852,.F.); #2509=ORIENTED_EDGE('',*,*,#1851,.T.); #2510=ORIENTED_EDGE('',*,*,#1853,.F.); #2511=ORIENTED_EDGE('',*,*,#1846,.F.); #2512=ORIENTED_EDGE('',*,*,#1854,.T.); #2513=ORIENTED_EDGE('',*,*,#1853,.T.); #2514=ORIENTED_EDGE('',*,*,#1854,.F.); #2515=ORIENTED_EDGE('',*,*,#1855,.F.); #2516=ORIENTED_EDGE('',*,*,#1856,.T.); #2517=ORIENTED_EDGE('',*,*,#1849,.T.); #2518=ORIENTED_EDGE('',*,*,#1856,.F.); #2519=ORIENTED_EDGE('',*,*,#1857,.F.); #2520=ORIENTED_EDGE('',*,*,#1855,.T.); #2521=ORIENTED_EDGE('',*,*,#1858,.F.); #2522=ORIENTED_EDGE('',*,*,#1859,.T.); #2523=ORIENTED_EDGE('',*,*,#1857,.T.); #2524=ORIENTED_EDGE('',*,*,#1859,.F.); #2525=ORIENTED_EDGE('',*,*,#1858,.T.); #2526=ORIENTED_EDGE('',*,*,#1860,.T.); #2527=ORIENTED_EDGE('',*,*,#1861,.T.); #2528=ORIENTED_EDGE('',*,*,#1862,.F.); #2529=ORIENTED_EDGE('',*,*,#1861,.F.); #2530=ORIENTED_EDGE('',*,*,#1860,.F.); #2531=ORIENTED_EDGE('',*,*,#1863,.T.); #2532=ORIENTED_EDGE('',*,*,#1862,.T.); #2533=ORIENTED_EDGE('',*,*,#1864,.F.); #2534=ORIENTED_EDGE('',*,*,#1865,.T.); #2535=ORIENTED_EDGE('',*,*,#1866,.F.); #2536=ORIENTED_EDGE('',*,*,#1867,.F.); #2537=ORIENTED_EDGE('',*,*,#1868,.F.); #2538=ORIENTED_EDGE('',*,*,#1869,.F.); #2539=ORIENTED_EDGE('',*,*,#1870,.F.); #2540=ORIENTED_EDGE('',*,*,#1871,.T.); #2541=ORIENTED_EDGE('',*,*,#1872,.F.); #2542=ORIENTED_EDGE('',*,*,#1873,.F.); #2543=ORIENTED_EDGE('',*,*,#1874,.F.); #2544=ORIENTED_EDGE('',*,*,#1875,.F.); #2545=ORIENTED_EDGE('',*,*,#1876,.F.); #2546=ORIENTED_EDGE('',*,*,#1877,.T.); #2547=ORIENTED_EDGE('',*,*,#1878,.F.); #2548=ORIENTED_EDGE('',*,*,#1879,.F.); #2549=ORIENTED_EDGE('',*,*,#1880,.F.); #2550=ORIENTED_EDGE('',*,*,#1881,.F.); #2551=ORIENTED_EDGE('',*,*,#1882,.F.); #2552=ORIENTED_EDGE('',*,*,#1883,.T.); #2553=ORIENTED_EDGE('',*,*,#1884,.F.); #2554=ORIENTED_EDGE('',*,*,#1885,.F.); #2555=ORIENTED_EDGE('',*,*,#1886,.F.); #2556=ORIENTED_EDGE('',*,*,#1887,.F.); #2557=ORIENTED_EDGE('',*,*,#1888,.F.); #2558=ORIENTED_EDGE('',*,*,#1889,.T.); #2559=ORIENTED_EDGE('',*,*,#1890,.F.); #2560=ORIENTED_EDGE('',*,*,#1891,.F.); #2561=ORIENTED_EDGE('',*,*,#1892,.F.); #2562=ORIENTED_EDGE('',*,*,#1893,.F.); #2563=ORIENTED_EDGE('',*,*,#1894,.F.); #2564=ORIENTED_EDGE('',*,*,#1895,.T.); #2565=ORIENTED_EDGE('',*,*,#1896,.F.); #2566=ORIENTED_EDGE('',*,*,#1897,.F.); #2567=ORIENTED_EDGE('',*,*,#1898,.F.); #2568=ORIENTED_EDGE('',*,*,#1899,.F.); #2569=ORIENTED_EDGE('',*,*,#1900,.F.); #2570=ORIENTED_EDGE('',*,*,#1901,.T.); #2571=ORIENTED_EDGE('',*,*,#1902,.F.); #2572=ORIENTED_EDGE('',*,*,#1903,.F.); #2573=ORIENTED_EDGE('',*,*,#1904,.F.); #2574=ORIENTED_EDGE('',*,*,#1905,.F.); #2575=ORIENTED_EDGE('',*,*,#1906,.F.); #2576=ORIENTED_EDGE('',*,*,#1907,.T.); #2577=ORIENTED_EDGE('',*,*,#1908,.F.); #2578=ORIENTED_EDGE('',*,*,#1909,.F.); #2579=ORIENTED_EDGE('',*,*,#1910,.F.); #2580=ORIENTED_EDGE('',*,*,#1911,.F.); #2581=ORIENTED_EDGE('',*,*,#1912,.F.); #2582=ORIENTED_EDGE('',*,*,#1913,.T.); #2583=ORIENTED_EDGE('',*,*,#1914,.T.); #2584=ORIENTED_EDGE('',*,*,#1915,.F.); #2585=ORIENTED_EDGE('',*,*,#1916,.F.); #2586=ORIENTED_EDGE('',*,*,#1917,.F.); #2587=ORIENTED_EDGE('',*,*,#1918,.F.); #2588=ORIENTED_EDGE('',*,*,#1919,.T.); #2589=ORIENTED_EDGE('',*,*,#1914,.F.); #2590=ORIENTED_EDGE('',*,*,#1920,.T.); #2591=ORIENTED_EDGE('',*,*,#1863,.F.); #2592=ORIENTED_EDGE('',*,*,#1920,.F.); #2593=ORIENTED_EDGE('',*,*,#1913,.F.); #2594=ORIENTED_EDGE('',*,*,#1921,.F.); #2595=ORIENTED_EDGE('',*,*,#1922,.F.); #2596=ORIENTED_EDGE('',*,*,#1923,.T.); #2597=ORIENTED_EDGE('',*,*,#1907,.F.); #2598=ORIENTED_EDGE('',*,*,#1924,.F.); #2599=ORIENTED_EDGE('',*,*,#1925,.F.); #2600=ORIENTED_EDGE('',*,*,#1926,.T.); #2601=ORIENTED_EDGE('',*,*,#1901,.F.); #2602=ORIENTED_EDGE('',*,*,#1927,.F.); #2603=ORIENTED_EDGE('',*,*,#1928,.F.); #2604=ORIENTED_EDGE('',*,*,#1929,.T.); #2605=ORIENTED_EDGE('',*,*,#1895,.F.); #2606=ORIENTED_EDGE('',*,*,#1930,.F.); #2607=ORIENTED_EDGE('',*,*,#1931,.F.); #2608=ORIENTED_EDGE('',*,*,#1932,.T.); #2609=ORIENTED_EDGE('',*,*,#1889,.F.); #2610=ORIENTED_EDGE('',*,*,#1933,.F.); #2611=ORIENTED_EDGE('',*,*,#1934,.F.); #2612=ORIENTED_EDGE('',*,*,#1935,.T.); #2613=ORIENTED_EDGE('',*,*,#1883,.F.); #2614=ORIENTED_EDGE('',*,*,#1936,.F.); #2615=ORIENTED_EDGE('',*,*,#1937,.F.); #2616=ORIENTED_EDGE('',*,*,#1938,.T.); #2617=ORIENTED_EDGE('',*,*,#1877,.F.); #2618=ORIENTED_EDGE('',*,*,#1939,.F.); #2619=ORIENTED_EDGE('',*,*,#1940,.F.); #2620=ORIENTED_EDGE('',*,*,#1941,.T.); #2621=ORIENTED_EDGE('',*,*,#1871,.F.); #2622=ORIENTED_EDGE('',*,*,#1942,.F.); #2623=ORIENTED_EDGE('',*,*,#1943,.F.); #2624=ORIENTED_EDGE('',*,*,#1944,.T.); #2625=ORIENTED_EDGE('',*,*,#1865,.F.); #2626=ORIENTED_EDGE('',*,*,#1945,.F.); #2627=ORIENTED_EDGE('',*,*,#1946,.F.); #2628=ORIENTED_EDGE('',*,*,#1947,.F.); #2629=ORIENTED_EDGE('',*,*,#1948,.T.); #2630=ORIENTED_EDGE('',*,*,#1949,.F.); #2631=ORIENTED_EDGE('',*,*,#1948,.F.); #2632=ORIENTED_EDGE('',*,*,#1950,.T.); #2633=ORIENTED_EDGE('',*,*,#1951,.T.); #2634=ORIENTED_EDGE('',*,*,#1952,.F.); #2635=ORIENTED_EDGE('',*,*,#1953,.T.); #2636=ORIENTED_EDGE('',*,*,#1954,.F.); #2637=ORIENTED_EDGE('',*,*,#1955,.T.); #2638=ORIENTED_EDGE('',*,*,#1956,.T.); #2639=ORIENTED_EDGE('',*,*,#1957,.T.); #2640=ORIENTED_EDGE('',*,*,#1958,.T.); #2641=ORIENTED_EDGE('',*,*,#1959,.T.); #2642=ORIENTED_EDGE('',*,*,#1960,.F.); #2643=ORIENTED_EDGE('',*,*,#1961,.T.); #2644=ORIENTED_EDGE('',*,*,#1962,.T.); #2645=ORIENTED_EDGE('',*,*,#1963,.T.); #2646=ORIENTED_EDGE('',*,*,#1964,.F.); #2647=ORIENTED_EDGE('',*,*,#1965,.T.); #2648=ORIENTED_EDGE('',*,*,#1966,.F.); #2649=ORIENTED_EDGE('',*,*,#1967,.T.); #2650=ORIENTED_EDGE('',*,*,#1968,.T.); #2651=ORIENTED_EDGE('',*,*,#1969,.T.); #2652=ORIENTED_EDGE('',*,*,#1970,.T.); #2653=ORIENTED_EDGE('',*,*,#1971,.T.); #2654=ORIENTED_EDGE('',*,*,#1972,.F.); #2655=ORIENTED_EDGE('',*,*,#1973,.T.); #2656=ORIENTED_EDGE('',*,*,#1974,.T.); #2657=ORIENTED_EDGE('',*,*,#1975,.T.); #2658=ORIENTED_EDGE('',*,*,#1976,.F.); #2659=ORIENTED_EDGE('',*,*,#1977,.T.); #2660=ORIENTED_EDGE('',*,*,#1978,.T.); #2661=ORIENTED_EDGE('',*,*,#1979,.T.); #2662=ORIENTED_EDGE('',*,*,#1980,.F.); #2663=ORIENTED_EDGE('',*,*,#1981,.T.); #2664=ORIENTED_EDGE('',*,*,#1982,.F.); #2665=ORIENTED_EDGE('',*,*,#1983,.T.); #2666=ORIENTED_EDGE('',*,*,#1984,.T.); #2667=ORIENTED_EDGE('',*,*,#1985,.T.); #2668=ORIENTED_EDGE('',*,*,#1986,.F.); #2669=ORIENTED_EDGE('',*,*,#1987,.T.); #2670=ORIENTED_EDGE('',*,*,#1988,.T.); #2671=ORIENTED_EDGE('',*,*,#1867,.T.); #2672=ORIENTED_EDGE('',*,*,#1984,.F.); #2673=ORIENTED_EDGE('',*,*,#1989,.T.); #2674=ORIENTED_EDGE('',*,*,#1986,.T.); #2675=ORIENTED_EDGE('',*,*,#1866,.T.); #2676=ORIENTED_EDGE('',*,*,#1944,.F.); #2677=ORIENTED_EDGE('',*,*,#1990,.F.); #2678=ORIENTED_EDGE('',*,*,#1991,.T.); #2679=ORIENTED_EDGE('',*,*,#1952,.T.); #2680=ORIENTED_EDGE('',*,*,#1942,.T.); #2681=ORIENTED_EDGE('',*,*,#1870,.T.); #2682=ORIENTED_EDGE('',*,*,#1992,.F.); #2683=ORIENTED_EDGE('',*,*,#1993,.T.); #2684=ORIENTED_EDGE('',*,*,#1990,.T.); #2685=ORIENTED_EDGE('',*,*,#1869,.T.); #2686=ORIENTED_EDGE('',*,*,#1988,.F.); #2687=ORIENTED_EDGE('',*,*,#1994,.T.); #2688=ORIENTED_EDGE('',*,*,#1992,.T.); #2689=ORIENTED_EDGE('',*,*,#1868,.T.); #2690=ORIENTED_EDGE('',*,*,#1950,.F.); #2691=ORIENTED_EDGE('',*,*,#1995,.T.); #2692=ORIENTED_EDGE('',*,*,#1996,.T.); #2693=ORIENTED_EDGE('',*,*,#1872,.T.); #2694=ORIENTED_EDGE('',*,*,#1941,.F.); #2695=ORIENTED_EDGE('',*,*,#1997,.F.); #2696=ORIENTED_EDGE('',*,*,#1998,.T.); #2697=ORIENTED_EDGE('',*,*,#1976,.T.); #2698=ORIENTED_EDGE('',*,*,#1939,.T.); #2699=ORIENTED_EDGE('',*,*,#1876,.T.); #2700=ORIENTED_EDGE('',*,*,#1999,.F.); #2701=ORIENTED_EDGE('',*,*,#2000,.T.); #2702=ORIENTED_EDGE('',*,*,#1997,.T.); #2703=ORIENTED_EDGE('',*,*,#1875,.T.); #2704=ORIENTED_EDGE('',*,*,#2001,.F.); #2705=ORIENTED_EDGE('',*,*,#2002,.T.); #2706=ORIENTED_EDGE('',*,*,#1999,.T.); #2707=ORIENTED_EDGE('',*,*,#1874,.T.); #2708=ORIENTED_EDGE('',*,*,#1996,.F.); #2709=ORIENTED_EDGE('',*,*,#2003,.T.); #2710=ORIENTED_EDGE('',*,*,#2001,.T.); #2711=ORIENTED_EDGE('',*,*,#1873,.T.); #2712=ORIENTED_EDGE('',*,*,#1956,.F.); #2713=ORIENTED_EDGE('',*,*,#2004,.T.); #2714=ORIENTED_EDGE('',*,*,#2005,.T.); #2715=ORIENTED_EDGE('',*,*,#1890,.T.); #2716=ORIENTED_EDGE('',*,*,#1932,.F.); #2717=ORIENTED_EDGE('',*,*,#2006,.F.); #2718=ORIENTED_EDGE('',*,*,#2007,.T.); #2719=ORIENTED_EDGE('',*,*,#1960,.T.); #2720=ORIENTED_EDGE('',*,*,#1930,.T.); #2721=ORIENTED_EDGE('',*,*,#1894,.T.); #2722=ORIENTED_EDGE('',*,*,#2008,.F.); #2723=ORIENTED_EDGE('',*,*,#2009,.T.); #2724=ORIENTED_EDGE('',*,*,#2006,.T.); #2725=ORIENTED_EDGE('',*,*,#1893,.T.); #2726=ORIENTED_EDGE('',*,*,#2010,.F.); #2727=ORIENTED_EDGE('',*,*,#2011,.T.); #2728=ORIENTED_EDGE('',*,*,#2008,.T.); #2729=ORIENTED_EDGE('',*,*,#1892,.T.); #2730=ORIENTED_EDGE('',*,*,#2005,.F.); #2731=ORIENTED_EDGE('',*,*,#2012,.T.); #2732=ORIENTED_EDGE('',*,*,#2010,.T.); #2733=ORIENTED_EDGE('',*,*,#1891,.T.); #2734=ORIENTED_EDGE('',*,*,#1958,.F.); #2735=ORIENTED_EDGE('',*,*,#2013,.T.); #2736=ORIENTED_EDGE('',*,*,#2014,.T.); #2737=ORIENTED_EDGE('',*,*,#1896,.T.); #2738=ORIENTED_EDGE('',*,*,#1929,.F.); #2739=ORIENTED_EDGE('',*,*,#2015,.F.); #2740=ORIENTED_EDGE('',*,*,#2016,.T.); #2741=ORIENTED_EDGE('',*,*,#1964,.T.); #2742=ORIENTED_EDGE('',*,*,#1927,.T.); #2743=ORIENTED_EDGE('',*,*,#1900,.T.); #2744=ORIENTED_EDGE('',*,*,#2017,.F.); #2745=ORIENTED_EDGE('',*,*,#2018,.T.); #2746=ORIENTED_EDGE('',*,*,#2015,.T.); #2747=ORIENTED_EDGE('',*,*,#1899,.T.); #2748=ORIENTED_EDGE('',*,*,#2019,.F.); #2749=ORIENTED_EDGE('',*,*,#2020,.T.); #2750=ORIENTED_EDGE('',*,*,#2017,.T.); #2751=ORIENTED_EDGE('',*,*,#1898,.T.); #2752=ORIENTED_EDGE('',*,*,#2014,.F.); #2753=ORIENTED_EDGE('',*,*,#2021,.T.); #2754=ORIENTED_EDGE('',*,*,#2019,.T.); #2755=ORIENTED_EDGE('',*,*,#1897,.T.); #2756=ORIENTED_EDGE('',*,*,#1962,.F.); #2757=ORIENTED_EDGE('',*,*,#2022,.T.); #2758=ORIENTED_EDGE('',*,*,#2023,.T.); #2759=ORIENTED_EDGE('',*,*,#1902,.T.); #2760=ORIENTED_EDGE('',*,*,#1926,.F.); #2761=ORIENTED_EDGE('',*,*,#2024,.F.); #2762=ORIENTED_EDGE('',*,*,#2025,.T.); #2763=ORIENTED_EDGE('',*,*,#1972,.T.); #2764=ORIENTED_EDGE('',*,*,#1924,.T.); #2765=ORIENTED_EDGE('',*,*,#1906,.T.); #2766=ORIENTED_EDGE('',*,*,#2026,.F.); #2767=ORIENTED_EDGE('',*,*,#2027,.T.); #2768=ORIENTED_EDGE('',*,*,#2024,.T.); #2769=ORIENTED_EDGE('',*,*,#1905,.T.); #2770=ORIENTED_EDGE('',*,*,#2028,.F.); #2771=ORIENTED_EDGE('',*,*,#2029,.T.); #2772=ORIENTED_EDGE('',*,*,#2026,.T.); #2773=ORIENTED_EDGE('',*,*,#1904,.T.); #2774=ORIENTED_EDGE('',*,*,#2023,.F.); #2775=ORIENTED_EDGE('',*,*,#2030,.T.); #2776=ORIENTED_EDGE('',*,*,#2028,.T.); #2777=ORIENTED_EDGE('',*,*,#1903,.T.); #2778=ORIENTED_EDGE('',*,*,#2031,.F.); #2779=ORIENTED_EDGE('',*,*,#2032,.T.); #2780=ORIENTED_EDGE('',*,*,#2033,.T.); #2781=ORIENTED_EDGE('',*,*,#1886,.T.); #2782=ORIENTED_EDGE('',*,*,#2034,.F.); #2783=ORIENTED_EDGE('',*,*,#2035,.T.); #2784=ORIENTED_EDGE('',*,*,#2031,.T.); #2785=ORIENTED_EDGE('',*,*,#1885,.T.); #2786=ORIENTED_EDGE('',*,*,#1968,.F.); #2787=ORIENTED_EDGE('',*,*,#2036,.T.); #2788=ORIENTED_EDGE('',*,*,#2034,.T.); #2789=ORIENTED_EDGE('',*,*,#1884,.T.); #2790=ORIENTED_EDGE('',*,*,#1935,.F.); #2791=ORIENTED_EDGE('',*,*,#2037,.F.); #2792=ORIENTED_EDGE('',*,*,#2038,.T.); #2793=ORIENTED_EDGE('',*,*,#1954,.T.); #2794=ORIENTED_EDGE('',*,*,#1933,.T.); #2795=ORIENTED_EDGE('',*,*,#1888,.T.); #2796=ORIENTED_EDGE('',*,*,#2033,.F.); #2797=ORIENTED_EDGE('',*,*,#2039,.T.); #2798=ORIENTED_EDGE('',*,*,#2037,.T.); #2799=ORIENTED_EDGE('',*,*,#1887,.T.); #2800=ORIENTED_EDGE('',*,*,#1970,.F.); #2801=ORIENTED_EDGE('',*,*,#2040,.T.); #2802=ORIENTED_EDGE('',*,*,#2041,.T.); #2803=ORIENTED_EDGE('',*,*,#1908,.T.); #2804=ORIENTED_EDGE('',*,*,#1923,.F.); #2805=ORIENTED_EDGE('',*,*,#2042,.F.); #2806=ORIENTED_EDGE('',*,*,#2043,.T.); #2807=ORIENTED_EDGE('',*,*,#1980,.T.); #2808=ORIENTED_EDGE('',*,*,#1921,.T.); #2809=ORIENTED_EDGE('',*,*,#1912,.T.); #2810=ORIENTED_EDGE('',*,*,#2044,.F.); #2811=ORIENTED_EDGE('',*,*,#2045,.T.); #2812=ORIENTED_EDGE('',*,*,#2042,.T.); #2813=ORIENTED_EDGE('',*,*,#1911,.T.); #2814=ORIENTED_EDGE('',*,*,#2046,.F.); #2815=ORIENTED_EDGE('',*,*,#2047,.T.); #2816=ORIENTED_EDGE('',*,*,#2044,.T.); #2817=ORIENTED_EDGE('',*,*,#1910,.T.); #2818=ORIENTED_EDGE('',*,*,#2041,.F.); #2819=ORIENTED_EDGE('',*,*,#2048,.T.); #2820=ORIENTED_EDGE('',*,*,#2046,.T.); #2821=ORIENTED_EDGE('',*,*,#1909,.T.); #2822=ORIENTED_EDGE('',*,*,#2049,.F.); #2823=ORIENTED_EDGE('',*,*,#2050,.T.); #2824=ORIENTED_EDGE('',*,*,#2051,.T.); #2825=ORIENTED_EDGE('',*,*,#1880,.T.); #2826=ORIENTED_EDGE('',*,*,#2052,.F.); #2827=ORIENTED_EDGE('',*,*,#2053,.T.); #2828=ORIENTED_EDGE('',*,*,#2049,.T.); #2829=ORIENTED_EDGE('',*,*,#1879,.T.); #2830=ORIENTED_EDGE('',*,*,#1974,.F.); #2831=ORIENTED_EDGE('',*,*,#2054,.T.); #2832=ORIENTED_EDGE('',*,*,#2052,.T.); #2833=ORIENTED_EDGE('',*,*,#1878,.T.); #2834=ORIENTED_EDGE('',*,*,#1938,.F.); #2835=ORIENTED_EDGE('',*,*,#2055,.F.); #2836=ORIENTED_EDGE('',*,*,#2056,.T.); #2837=ORIENTED_EDGE('',*,*,#1966,.T.); #2838=ORIENTED_EDGE('',*,*,#1936,.T.); #2839=ORIENTED_EDGE('',*,*,#1882,.T.); #2840=ORIENTED_EDGE('',*,*,#2051,.F.); #2841=ORIENTED_EDGE('',*,*,#2057,.T.); #2842=ORIENTED_EDGE('',*,*,#2055,.T.); #2843=ORIENTED_EDGE('',*,*,#1881,.T.); #2844=ORIENTED_EDGE('',*,*,#2058,.F.); #2845=ORIENTED_EDGE('',*,*,#2059,.T.); #2846=ORIENTED_EDGE('',*,*,#1982,.T.); #2847=ORIENTED_EDGE('',*,*,#1945,.T.); #2848=ORIENTED_EDGE('',*,*,#1864,.T.); #2849=ORIENTED_EDGE('',*,*,#2060,.F.); #2850=ORIENTED_EDGE('',*,*,#2061,.T.); #2851=ORIENTED_EDGE('',*,*,#2058,.T.); #2852=ORIENTED_EDGE('',*,*,#1918,.T.); #2853=ORIENTED_EDGE('',*,*,#2062,.F.); #2854=ORIENTED_EDGE('',*,*,#2063,.T.); #2855=ORIENTED_EDGE('',*,*,#2060,.T.); #2856=ORIENTED_EDGE('',*,*,#1917,.T.); #2857=ORIENTED_EDGE('',*,*,#2064,.F.); #2858=ORIENTED_EDGE('',*,*,#2065,.T.); #2859=ORIENTED_EDGE('',*,*,#2062,.T.); #2860=ORIENTED_EDGE('',*,*,#1916,.T.); #2861=ORIENTED_EDGE('',*,*,#1978,.F.); #2862=ORIENTED_EDGE('',*,*,#2066,.T.); #2863=ORIENTED_EDGE('',*,*,#2064,.T.); #2864=ORIENTED_EDGE('',*,*,#1915,.T.); #2865=ORIENTED_EDGE('',*,*,#1919,.F.); #2866=ORIENTED_EDGE('',*,*,#2059,.F.); #2867=ORIENTED_EDGE('',*,*,#2061,.F.); #2868=ORIENTED_EDGE('',*,*,#2063,.F.); #2869=ORIENTED_EDGE('',*,*,#2065,.F.); #2870=ORIENTED_EDGE('',*,*,#2066,.F.); #2871=ORIENTED_EDGE('',*,*,#1981,.F.); #2872=ORIENTED_EDGE('',*,*,#2043,.F.); #2873=ORIENTED_EDGE('',*,*,#2045,.F.); #2874=ORIENTED_EDGE('',*,*,#2047,.F.); #2875=ORIENTED_EDGE('',*,*,#2048,.F.); #2876=ORIENTED_EDGE('',*,*,#2040,.F.); #2877=ORIENTED_EDGE('',*,*,#1973,.F.); #2878=ORIENTED_EDGE('',*,*,#2025,.F.); #2879=ORIENTED_EDGE('',*,*,#2027,.F.); #2880=ORIENTED_EDGE('',*,*,#2029,.F.); #2881=ORIENTED_EDGE('',*,*,#2030,.F.); #2882=ORIENTED_EDGE('',*,*,#2022,.F.); #2883=ORIENTED_EDGE('',*,*,#1965,.F.); #2884=ORIENTED_EDGE('',*,*,#2016,.F.); #2885=ORIENTED_EDGE('',*,*,#2018,.F.); #2886=ORIENTED_EDGE('',*,*,#2020,.F.); #2887=ORIENTED_EDGE('',*,*,#2021,.F.); #2888=ORIENTED_EDGE('',*,*,#2013,.F.); #2889=ORIENTED_EDGE('',*,*,#1961,.F.); #2890=ORIENTED_EDGE('',*,*,#2007,.F.); #2891=ORIENTED_EDGE('',*,*,#2009,.F.); #2892=ORIENTED_EDGE('',*,*,#2011,.F.); #2893=ORIENTED_EDGE('',*,*,#2012,.F.); #2894=ORIENTED_EDGE('',*,*,#2004,.F.); #2895=ORIENTED_EDGE('',*,*,#1955,.F.); #2896=ORIENTED_EDGE('',*,*,#2038,.F.); #2897=ORIENTED_EDGE('',*,*,#2039,.F.); #2898=ORIENTED_EDGE('',*,*,#2032,.F.); #2899=ORIENTED_EDGE('',*,*,#2035,.F.); #2900=ORIENTED_EDGE('',*,*,#2036,.F.); #2901=ORIENTED_EDGE('',*,*,#1967,.F.); #2902=ORIENTED_EDGE('',*,*,#2056,.F.); #2903=ORIENTED_EDGE('',*,*,#2057,.F.); #2904=ORIENTED_EDGE('',*,*,#2050,.F.); #2905=ORIENTED_EDGE('',*,*,#2053,.F.); #2906=ORIENTED_EDGE('',*,*,#2054,.F.); #2907=ORIENTED_EDGE('',*,*,#1977,.F.); #2908=ORIENTED_EDGE('',*,*,#1998,.F.); #2909=ORIENTED_EDGE('',*,*,#2000,.F.); #2910=ORIENTED_EDGE('',*,*,#2002,.F.); #2911=ORIENTED_EDGE('',*,*,#2003,.F.); #2912=ORIENTED_EDGE('',*,*,#1995,.F.); #2913=ORIENTED_EDGE('',*,*,#1953,.F.); #2914=ORIENTED_EDGE('',*,*,#1991,.F.); #2915=ORIENTED_EDGE('',*,*,#1993,.F.); #2916=ORIENTED_EDGE('',*,*,#1994,.F.); #2917=ORIENTED_EDGE('',*,*,#1987,.F.); #2918=ORIENTED_EDGE('',*,*,#1989,.F.); #2919=ORIENTED_EDGE('',*,*,#1983,.F.); #2920=ORIENTED_EDGE('',*,*,#1947,.T.); #2921=ORIENTED_EDGE('',*,*,#1946,.T.); #2922=ORIENTED_EDGE('',*,*,#1985,.F.); #2923=ORIENTED_EDGE('',*,*,#1943,.T.); #2924=ORIENTED_EDGE('',*,*,#1951,.F.); #2925=ORIENTED_EDGE('',*,*,#1940,.T.); #2926=ORIENTED_EDGE('',*,*,#1975,.F.); #2927=ORIENTED_EDGE('',*,*,#1937,.T.); #2928=ORIENTED_EDGE('',*,*,#1969,.F.); #2929=ORIENTED_EDGE('',*,*,#1934,.T.); #2930=ORIENTED_EDGE('',*,*,#1957,.F.); #2931=ORIENTED_EDGE('',*,*,#1931,.T.); #2932=ORIENTED_EDGE('',*,*,#1959,.F.); #2933=ORIENTED_EDGE('',*,*,#1928,.T.); #2934=ORIENTED_EDGE('',*,*,#1963,.F.); #2935=ORIENTED_EDGE('',*,*,#1925,.T.); #2936=ORIENTED_EDGE('',*,*,#1971,.F.); #2937=ORIENTED_EDGE('',*,*,#1922,.T.); #2938=ORIENTED_EDGE('',*,*,#1979,.F.); #2939=ORIENTED_EDGE('',*,*,#1949,.T.); #2940=ORIENTED_EDGE('',*,*,#2067,.F.); #2941=ORIENTED_EDGE('',*,*,#2068,.F.); #2942=ORIENTED_EDGE('',*,*,#2069,.T.); #2943=ORIENTED_EDGE('',*,*,#2067,.T.); #2944=ORIENTED_EDGE('',*,*,#2069,.F.); #2945=ORIENTED_EDGE('',*,*,#2068,.T.); #2946=ORIENTED_EDGE('',*,*,#2070,.F.); #2947=ORIENTED_EDGE('',*,*,#2071,.T.); #2948=ORIENTED_EDGE('',*,*,#2072,.F.); #2949=ORIENTED_EDGE('',*,*,#2071,.F.); #2950=ORIENTED_EDGE('',*,*,#2073,.T.); #2951=ORIENTED_EDGE('',*,*,#2070,.T.); #2952=ORIENTED_EDGE('',*,*,#2074,.F.); #2953=ORIENTED_EDGE('',*,*,#2075,.T.); #2954=ORIENTED_EDGE('',*,*,#2073,.F.); #2955=ORIENTED_EDGE('',*,*,#2075,.F.); #2956=ORIENTED_EDGE('',*,*,#2074,.T.); #2957=ORIENTED_EDGE('',*,*,#2072,.T.); #2958=ORIENTED_EDGE('',*,*,#2076,.T.); #2959=ORIENTED_EDGE('',*,*,#2077,.T.); #2960=ORIENTED_EDGE('',*,*,#2078,.T.); #2961=ORIENTED_EDGE('',*,*,#2079,.T.); #2962=ORIENTED_EDGE('',*,*,#2077,.F.); #2963=ORIENTED_EDGE('',*,*,#2080,.F.); #2964=ORIENTED_EDGE('',*,*,#2076,.F.); #2965=ORIENTED_EDGE('',*,*,#2081,.F.); #2966=ORIENTED_EDGE('',*,*,#2082,.T.); #2967=ORIENTED_EDGE('',*,*,#2078,.F.); #2968=ORIENTED_EDGE('',*,*,#2079,.F.); #2969=ORIENTED_EDGE('',*,*,#2082,.F.); #2970=ORIENTED_EDGE('',*,*,#2083,.F.); #2971=ORIENTED_EDGE('',*,*,#2080,.T.); #2972=ORIENTED_EDGE('',*,*,#2084,.T.); #2973=ORIENTED_EDGE('',*,*,#2085,.T.); #2974=ORIENTED_EDGE('',*,*,#2086,.T.); #2975=ORIENTED_EDGE('',*,*,#2084,.F.); #2976=ORIENTED_EDGE('',*,*,#2086,.F.); #2977=ORIENTED_EDGE('',*,*,#2087,.T.); #2978=ORIENTED_EDGE('',*,*,#2088,.F.); #2979=ORIENTED_EDGE('',*,*,#2089,.F.); #2980=ORIENTED_EDGE('',*,*,#2087,.F.); #2981=ORIENTED_EDGE('',*,*,#2085,.F.); #2982=ORIENTED_EDGE('',*,*,#2090,.T.); #2983=ORIENTED_EDGE('',*,*,#2091,.T.); #2984=ORIENTED_EDGE('',*,*,#2092,.T.); #2985=ORIENTED_EDGE('',*,*,#2091,.F.); #2986=ORIENTED_EDGE('',*,*,#2093,.T.); #2987=ORIENTED_EDGE('',*,*,#2094,.F.); #2988=ORIENTED_EDGE('',*,*,#2092,.F.); #2989=ORIENTED_EDGE('',*,*,#2090,.F.); #2990=ORIENTED_EDGE('',*,*,#2095,.T.); #2991=ORIENTED_EDGE('',*,*,#2096,.T.); #2992=ORIENTED_EDGE('',*,*,#2097,.T.); #2993=ORIENTED_EDGE('',*,*,#2095,.F.); #2994=ORIENTED_EDGE('',*,*,#2093,.F.); #2995=ORIENTED_EDGE('',*,*,#2088,.T.); #2996=ORIENTED_EDGE('',*,*,#2089,.T.); #2997=ORIENTED_EDGE('',*,*,#2098,.T.); #2998=ORIENTED_EDGE('',*,*,#2094,.T.); #2999=ORIENTED_EDGE('',*,*,#2098,.F.); #3000=ORIENTED_EDGE('',*,*,#2099,.T.); #3001=ORIENTED_EDGE('',*,*,#2100,.T.); #3002=ORIENTED_EDGE('',*,*,#2101,.T.); #3003=ORIENTED_EDGE('',*,*,#2102,.T.); #3004=ORIENTED_EDGE('',*,*,#2100,.F.); #3005=ORIENTED_EDGE('',*,*,#2103,.F.); #3006=ORIENTED_EDGE('',*,*,#2104,.F.); #3007=ORIENTED_EDGE('',*,*,#2105,.T.); #3008=ORIENTED_EDGE('',*,*,#2101,.F.); #3009=ORIENTED_EDGE('',*,*,#2102,.F.); #3010=ORIENTED_EDGE('',*,*,#2105,.F.); #3011=ORIENTED_EDGE('',*,*,#2106,.F.); #3012=ORIENTED_EDGE('',*,*,#2099,.F.); #3013=ORIENTED_EDGE('',*,*,#2103,.T.); #3014=ORIENTED_EDGE('',*,*,#2104,.T.); #3015=ORIENTED_EDGE('',*,*,#2107,.T.); #3016=ORIENTED_EDGE('',*,*,#2108,.T.); #3017=ORIENTED_EDGE('',*,*,#2107,.F.); #3018=ORIENTED_EDGE('',*,*,#2109,.T.); #3019=ORIENTED_EDGE('',*,*,#2108,.F.); #3020=ORIENTED_EDGE('',*,*,#2109,.F.); #3021=ORIENTED_EDGE('',*,*,#2110,.T.); #3022=ORIENTED_EDGE('',*,*,#2111,.T.); #3023=ORIENTED_EDGE('',*,*,#2110,.F.); #3024=ORIENTED_EDGE('',*,*,#2112,.F.); #3025=ORIENTED_EDGE('',*,*,#2113,.T.); #3026=ORIENTED_EDGE('',*,*,#2106,.T.); #3027=ORIENTED_EDGE('',*,*,#2113,.F.); #3028=ORIENTED_EDGE('',*,*,#2114,.F.); #3029=ORIENTED_EDGE('',*,*,#2112,.T.); #3030=ORIENTED_EDGE('',*,*,#2115,.F.); #3031=ORIENTED_EDGE('',*,*,#2116,.T.); #3032=ORIENTED_EDGE('',*,*,#2114,.T.); #3033=ORIENTED_EDGE('',*,*,#2116,.F.); #3034=ORIENTED_EDGE('',*,*,#2117,.F.); #3035=ORIENTED_EDGE('',*,*,#2118,.F.); #3036=ORIENTED_EDGE('',*,*,#2119,.T.); #3037=ORIENTED_EDGE('',*,*,#2117,.T.); #3038=ORIENTED_EDGE('',*,*,#2115,.T.); #3039=ORIENTED_EDGE('',*,*,#2119,.F.); #3040=ORIENTED_EDGE('',*,*,#2118,.T.); #3041=ORIENTED_EDGE('',*,*,#2120,.F.); #3042=ORIENTED_EDGE('',*,*,#2120,.T.); #3043=ORIENTED_EDGE('',*,*,#2121,.T.); #3044=ORIENTED_EDGE('',*,*,#2096,.F.); #3045=ORIENTED_EDGE('',*,*,#2097,.F.); #3046=ORIENTED_EDGE('',*,*,#2121,.F.); #3047=ORIENTED_EDGE('',*,*,#2081,.T.); #3048=ORIENTED_EDGE('',*,*,#2122,.T.); #3049=ORIENTED_EDGE('',*,*,#2123,.F.); #3050=ORIENTED_EDGE('',*,*,#2122,.F.); #3051=ORIENTED_EDGE('',*,*,#2083,.T.); #3052=ORIENTED_EDGE('',*,*,#2124,.F.); #3053=ORIENTED_EDGE('',*,*,#2123,.T.); #3054=ORIENTED_EDGE('',*,*,#2125,.F.); #3055=ORIENTED_EDGE('',*,*,#2126,.F.); #3056=ORIENTED_EDGE('',*,*,#2127,.T.); #3057=ORIENTED_EDGE('',*,*,#2124,.T.); #3058=ORIENTED_EDGE('',*,*,#2127,.F.); #3059=ORIENTED_EDGE('',*,*,#2128,.F.); #3060=ORIENTED_EDGE('',*,*,#2129,.T.); #3061=ORIENTED_EDGE('',*,*,#2125,.T.); #3062=ORIENTED_EDGE('',*,*,#2126,.T.); #3063=ORIENTED_EDGE('',*,*,#2129,.F.); #3064=ORIENTED_EDGE('',*,*,#2128,.T.); #3065=ORIENTED_EDGE('',*,*,#2111,.F.); #3066=CYLINDRICAL_SURFACE('',#3527,0.695999999999991); #3067=CYLINDRICAL_SURFACE('',#3531,10.1865000000008); #3068=CYLINDRICAL_SURFACE('',#3534,1.98749999999998); #3069=CYLINDRICAL_SURFACE('',#3537,1.51574999999998); #3070=CYLINDRICAL_SURFACE('',#3541,1.59449999999998); #3071=CYLINDRICAL_SURFACE('',#3544,7.74525000000015); #3072=CYLINDRICAL_SURFACE('',#3555,10.1865000000008); #3073=CYLINDRICAL_SURFACE('',#3558,7.74525000000015); #3074=CYLINDRICAL_SURFACE('',#3574,3.95); #3075=CYLINDRICAL_SURFACE('',#3580,3.95); #3076=CYLINDRICAL_SURFACE('',#3581,3.95); #3077=CYLINDRICAL_SURFACE('',#3583,27.); #3078=CYLINDRICAL_SURFACE('',#3585,27.); #3079=CYLINDRICAL_SURFACE('',#3591,7.); #3080=CYLINDRICAL_SURFACE('',#3602,7.); #3081=CYLINDRICAL_SURFACE('',#3606,7.); #3082=CYLINDRICAL_SURFACE('',#3619,7.); #3083=CYLINDRICAL_SURFACE('',#3623,10.); #3084=CYLINDRICAL_SURFACE('',#3626,15.); #3085=CYLINDRICAL_SURFACE('',#3630,22.5000000000001); #3086=CYLINDRICAL_SURFACE('',#3632,7.1); #3087=CYLINDRICAL_SURFACE('',#3633,4.25); #3088=CYLINDRICAL_SURFACE('',#3635,4.25); #3089=CYLINDRICAL_SURFACE('',#3637,4.25); #3090=CYLINDRICAL_SURFACE('',#3639,4.25); #3091=CYLINDRICAL_SURFACE('',#3641,4.25); #3092=CYLINDRICAL_SURFACE('',#3645,22.5000000000001); #3093=CYLINDRICAL_SURFACE('',#3648,10.); #3094=CYLINDRICAL_SURFACE('',#3651,15.); #3095=CYLINDRICAL_SURFACE('',#3659,7.); #3096=CYLINDRICAL_SURFACE('',#3670,5.); #3097=CYLINDRICAL_SURFACE('',#3674,3.85); #3098=CYLINDRICAL_SURFACE('',#3675,3.85000000000001); #3099=CYLINDRICAL_SURFACE('',#3679,5.); #3100=CYLINDRICAL_SURFACE('',#3684,11.9); #3101=CYLINDRICAL_SURFACE('',#3727,8.75); #3102=CYLINDRICAL_SURFACE('',#3737,7.); #3103=CYLINDRICAL_SURFACE('',#3740,8.75); #3104=CYLINDRICAL_SURFACE('',#3743,8.75); #3105=CYLINDRICAL_SURFACE('',#3746,8.75); #3106=CYLINDRICAL_SURFACE('',#3749,8.75); #3107=CYLINDRICAL_SURFACE('',#3752,8.75); #3108=CYLINDRICAL_SURFACE('',#3755,8.75); #3109=CYLINDRICAL_SURFACE('',#3758,8.75); #3110=CYLINDRICAL_SURFACE('',#3761,8.75); #3111=CYLINDRICAL_SURFACE('',#3764,8.75); #3112=CYLINDRICAL_SURFACE('',#3768,2.55); #3113=CYLINDRICAL_SURFACE('',#3770,2.55); #3114=CYLINDRICAL_SURFACE('',#3773,11.5); #3115=CYLINDRICAL_SURFACE('',#3775,2.55); #3116=CYLINDRICAL_SURFACE('',#3777,2.55); #3117=CYLINDRICAL_SURFACE('',#3780,11.5); #3118=CYLINDRICAL_SURFACE('',#3783,2.55); #3119=CYLINDRICAL_SURFACE('',#3785,2.55); #3120=CYLINDRICAL_SURFACE('',#3788,11.5); #3121=CYLINDRICAL_SURFACE('',#3791,2.55); #3122=CYLINDRICAL_SURFACE('',#3793,2.55); #3123=CYLINDRICAL_SURFACE('',#3796,11.5); #3124=CYLINDRICAL_SURFACE('',#3799,2.55); #3125=CYLINDRICAL_SURFACE('',#3801,2.55); #3126=CYLINDRICAL_SURFACE('',#3804,11.5); #3127=CYLINDRICAL_SURFACE('',#3807,11.5); #3128=CYLINDRICAL_SURFACE('',#3810,2.55); #3129=CYLINDRICAL_SURFACE('',#3812,2.55); #3130=CYLINDRICAL_SURFACE('',#3815,2.55); #3131=CYLINDRICAL_SURFACE('',#3817,2.55); #3132=CYLINDRICAL_SURFACE('',#3820,11.5); #3133=CYLINDRICAL_SURFACE('',#3823,11.5); #3134=CYLINDRICAL_SURFACE('',#3826,2.55); #3135=CYLINDRICAL_SURFACE('',#3828,2.55); #3136=CYLINDRICAL_SURFACE('',#3831,2.55); #3137=CYLINDRICAL_SURFACE('',#3834,11.5); #3138=CYLINDRICAL_SURFACE('',#3837,2.55); #3139=CYLINDRICAL_SURFACE('',#3844,2.5); #3140=CYLINDRICAL_SURFACE('',#3858,7.1); #3141=CYLINDRICAL_SURFACE('',#3863,9.); #3142=CYLINDRICAL_SURFACE('',#3874,17.); #3143=CYLINDRICAL_SURFACE('',#3881,19.5); #3144=CYLINDRICAL_SURFACE('',#3891,17.); #3145=CYLINDRICAL_SURFACE('',#3900,12.075); #3146=CYLINDRICAL_SURFACE('',#3909,17.); #3147=CYLINDRICAL_SURFACE('',#3911,17.); #3148=CYLINDRICAL_SURFACE('',#3915,22.); #3149=CYLINDRICAL_SURFACE('',#3934,22.); #3150=ADVANCED_FACE('',(#255),#3066,.F.); #3151=ADVANCED_FACE('',(#256),#179,.T.); #3152=ADVANCED_FACE('',(#257),#3067,.F.); #3153=ADVANCED_FACE('',(#258),#3068,.F.); #3154=ADVANCED_FACE('',(#259),#3069,.F.); #3155=ADVANCED_FACE('',(#260),#180,.T.); #3156=ADVANCED_FACE('',(#261),#3070,.T.); #3157=ADVANCED_FACE('',(#262),#3071,.T.); #3158=ADVANCED_FACE('',(#263),#181,.T.); #3159=ADVANCED_FACE('',(#264),#182,.T.); #3160=ADVANCED_FACE('',(#265),#183,.T.); #3161=ADVANCED_FACE('',(#266),#184,.T.); #3162=ADVANCED_FACE('',(#267),#185,.T.); #3163=ADVANCED_FACE('',(#268),#186,.F.); #3164=ADVANCED_FACE('',(#269),#187,.F.); #3165=ADVANCED_FACE('',(#270),#188,.F.); #3166=ADVANCED_FACE('',(#271),#3072,.F.); #3167=ADVANCED_FACE('',(#272),#3073,.T.); #3168=ADVANCED_FACE('',(#273),#189,.F.); #3169=ADVANCED_FACE('',(#274),#190,.F.); #3170=ADVANCED_FACE('',(#275,#140),#191,.T.); #3171=ADVANCED_FACE('',(#276,#141,#142,#143,#144),#192,.F.); #3172=ADVANCED_FACE('',(#277),#135,.F.); #3173=ADVANCED_FACE('',(#278),#3074,.F.); #3174=ADVANCED_FACE('',(#279,#145,#146,#147),#193,.T.); #3175=ADVANCED_FACE('',(#280),#3075,.F.); #3176=ADVANCED_FACE('',(#281),#3076,.F.); #3177=ADVANCED_FACE('',(#282),#194,.T.); #3178=ADVANCED_FACE('',(#283),#3077,.T.); #3179=ADVANCED_FACE('',(#284),#195,.T.); #3180=ADVANCED_FACE('',(#285),#3078,.T.); #3181=ADVANCED_FACE('',(#286,#148),#196,.F.); #3182=ADVANCED_FACE('',(#287),#197,.T.); #3183=ADVANCED_FACE('',(#288),#198,.F.); #3184=ADVANCED_FACE('',(#289),#199,.F.); #3185=ADVANCED_FACE('',(#290),#3079,.F.); #3186=ADVANCED_FACE('',(#291,#149),#200,.F.); #3187=ADVANCED_FACE('',(#292,#150),#201,.F.); #3188=ADVANCED_FACE('',(#293),#3080,.T.); #3189=ADVANCED_FACE('',(#294),#202,.F.); #3190=ADVANCED_FACE('',(#295),#3081,.F.); #3191=ADVANCED_FACE('',(#296,#151,#152,#153,#154,#155),#203,.F.); #3192=ADVANCED_FACE('',(#297),#3082,.T.); #3193=ADVANCED_FACE('',(#298),#204,.F.); #3194=ADVANCED_FACE('',(#299),#3083,.F.); #3195=ADVANCED_FACE('',(#300),#205,.T.); #3196=ADVANCED_FACE('',(#301),#3084,.T.); #3197=ADVANCED_FACE('',(#302),#206,.T.); #3198=ADVANCED_FACE('',(#303),#207,.T.); #3199=ADVANCED_FACE('',(#304),#3085,.F.); #3200=ADVANCED_FACE('',(#305),#208,.T.); #3201=ADVANCED_FACE('',(#306),#3086,.F.); #3202=ADVANCED_FACE('',(#307),#3087,.F.); #3203=ADVANCED_FACE('',(#308),#3088,.F.); #3204=ADVANCED_FACE('',(#309),#3089,.F.); #3205=ADVANCED_FACE('',(#310),#3090,.F.); #3206=ADVANCED_FACE('',(#311),#3091,.F.); #3207=ADVANCED_FACE('',(#312),#209,.T.); #3208=ADVANCED_FACE('',(#313),#210,.T.); #3209=ADVANCED_FACE('',(#314),#3092,.F.); #3210=ADVANCED_FACE('',(#315),#211,.T.); #3211=ADVANCED_FACE('',(#316),#212,.T.); #3212=ADVANCED_FACE('',(#317),#3093,.F.); #3213=ADVANCED_FACE('',(#318),#213,.T.); #3214=ADVANCED_FACE('',(#319),#3094,.T.); #3215=ADVANCED_FACE('',(#320,#156,#157,#158,#159,#160),#214,.T.); #3216=ADVANCED_FACE('',(#321),#136,.T.); #3217=ADVANCED_FACE('',(#322),#3095,.T.); #3218=ADVANCED_FACE('',(#323,#161),#215,.T.); #3219=ADVANCED_FACE('',(#324),#137,.T.); #3220=ADVANCED_FACE('',(#325,#162),#216,.T.); #3221=ADVANCED_FACE('',(#326),#217,.T.); #3222=ADVANCED_FACE('',(#327),#3096,.T.); #3223=ADVANCED_FACE('',(#328,#163),#218,.T.); #3224=ADVANCED_FACE('',(#329),#3097,.T.); #3225=ADVANCED_FACE('',(#330),#3098,.T.); #3226=ADVANCED_FACE('',(#331,#164),#219,.T.); #3227=ADVANCED_FACE('',(#332),#3099,.T.); #3228=ADVANCED_FACE('',(#333),#220,.T.); #3229=ADVANCED_FACE('',(#334),#3100,.T.); #3230=ADVANCED_FACE('',(#335,#165),#221,.F.); #3231=ADVANCED_FACE('',(#336,#166),#222,.T.); #3232=ADVANCED_FACE('',(#337),#3101,.F.); #3233=ADVANCED_FACE('',(#338),#3102,.F.); #3234=ADVANCED_FACE('',(#339),#3103,.T.); #3235=ADVANCED_FACE('',(#340),#3104,.T.); #3236=ADVANCED_FACE('',(#341),#3105,.T.); #3237=ADVANCED_FACE('',(#342),#3106,.T.); #3238=ADVANCED_FACE('',(#343),#3107,.T.); #3239=ADVANCED_FACE('',(#344),#3108,.T.); #3240=ADVANCED_FACE('',(#345),#3109,.T.); #3241=ADVANCED_FACE('',(#346),#3110,.T.); #3242=ADVANCED_FACE('',(#347),#3111,.T.); #3243=ADVANCED_FACE('',(#348),#223,.T.); #3244=ADVANCED_FACE('',(#349),#3112,.F.); #3245=ADVANCED_FACE('',(#350),#3113,.F.); #3246=ADVANCED_FACE('',(#351),#224,.T.); #3247=ADVANCED_FACE('',(#352),#3114,.T.); #3248=ADVANCED_FACE('',(#353),#3115,.F.); #3249=ADVANCED_FACE('',(#354),#3116,.F.); #3250=ADVANCED_FACE('',(#355),#225,.T.); #3251=ADVANCED_FACE('',(#356),#3117,.T.); #3252=ADVANCED_FACE('',(#357),#226,.T.); #3253=ADVANCED_FACE('',(#358),#3118,.F.); #3254=ADVANCED_FACE('',(#359),#3119,.F.); #3255=ADVANCED_FACE('',(#360),#227,.T.); #3256=ADVANCED_FACE('',(#361),#3120,.T.); #3257=ADVANCED_FACE('',(#362),#228,.T.); #3258=ADVANCED_FACE('',(#363),#3121,.F.); #3259=ADVANCED_FACE('',(#364),#3122,.F.); #3260=ADVANCED_FACE('',(#365),#229,.T.); #3261=ADVANCED_FACE('',(#366),#3123,.T.); #3262=ADVANCED_FACE('',(#367),#230,.T.); #3263=ADVANCED_FACE('',(#368),#3124,.F.); #3264=ADVANCED_FACE('',(#369),#3125,.F.); #3265=ADVANCED_FACE('',(#370),#231,.T.); #3266=ADVANCED_FACE('',(#371),#3126,.T.); #3267=ADVANCED_FACE('',(#372),#232,.T.); #3268=ADVANCED_FACE('',(#373),#3127,.T.); #3269=ADVANCED_FACE('',(#374),#233,.T.); #3270=ADVANCED_FACE('',(#375),#3128,.F.); #3271=ADVANCED_FACE('',(#376),#3129,.F.); #3272=ADVANCED_FACE('',(#377),#234,.T.); #3273=ADVANCED_FACE('',(#378),#3130,.F.); #3274=ADVANCED_FACE('',(#379),#3131,.F.); #3275=ADVANCED_FACE('',(#380),#235,.T.); #3276=ADVANCED_FACE('',(#381),#3132,.T.); #3277=ADVANCED_FACE('',(#382),#236,.T.); #3278=ADVANCED_FACE('',(#383),#3133,.T.); #3279=ADVANCED_FACE('',(#384),#237,.T.); #3280=ADVANCED_FACE('',(#385),#3134,.F.); #3281=ADVANCED_FACE('',(#386),#3135,.F.); #3282=ADVANCED_FACE('',(#387),#238,.T.); #3283=ADVANCED_FACE('',(#388),#3136,.F.); #3284=ADVANCED_FACE('',(#389),#239,.T.); #3285=ADVANCED_FACE('',(#390),#3137,.T.); #3286=ADVANCED_FACE('',(#391),#240,.T.); #3287=ADVANCED_FACE('',(#392),#3138,.F.); #3288=ADVANCED_FACE('',(#393,#167),#241,.F.); #3289=ADVANCED_FACE('',(#394,#168),#242,.T.); #3290=ADVANCED_FACE('',(#395),#243,.T.); #3291=ADVANCED_FACE('',(#396),#3139,.T.); #3292=ADVANCED_FACE('',(#397),#244,.T.); #3293=ADVANCED_FACE('',(#398),#3140,.F.); #3294=ADVANCED_FACE('',(#399,#169),#245,.F.); #3295=ADVANCED_FACE('',(#400),#3141,.T.); #3296=ADVANCED_FACE('',(#401,#170),#246,.T.); #3297=ADVANCED_FACE('',(#402),#11,.F.); #3298=ADVANCED_FACE('',(#403,#171),#247,.T.); #3299=ADVANCED_FACE('',(#404),#3142,.T.); #3300=ADVANCED_FACE('',(#405),#12,.T.); #3301=ADVANCED_FACE('',(#406),#3143,.T.); #3302=ADVANCED_FACE('',(#407),#13,.F.); #3303=ADVANCED_FACE('',(#408,#172),#248,.T.); #3304=ADVANCED_FACE('',(#409),#3144,.T.); #3305=ADVANCED_FACE('',(#410),#14,.T.); #3306=ADVANCED_FACE('',(#411),#138,.F.); #3307=ADVANCED_FACE('',(#412),#3145,.F.); #3308=ADVANCED_FACE('',(#413,#173),#249,.T.); #3309=ADVANCED_FACE('',(#414),#139,.F.); #3310=ADVANCED_FACE('',(#415,#174),#250,.T.); #3311=ADVANCED_FACE('',(#416),#3146,.T.); #3312=ADVANCED_FACE('',(#417),#3147,.T.); #3313=ADVANCED_FACE('',(#418,#175),#251,.T.); #3314=ADVANCED_FACE('',(#419),#3148,.T.); #3315=ADVANCED_FACE('',(#420),#15,.T.); #3316=ADVANCED_FACE('',(#421,#176),#252,.T.); #3317=ADVANCED_FACE('',(#422),#16,.F.); #3318=ADVANCED_FACE('',(#423),#17,.F.); #3319=ADVANCED_FACE('',(#424,#177),#253,.T.); #3320=ADVANCED_FACE('',(#425),#18,.T.); #3321=ADVANCED_FACE('',(#426),#3149,.T.); #3322=ADVANCED_FACE('',(#427,#178),#254,.T.); #3323=CLOSED_SHELL('',(#3150,#3151,#3152,#3153,#3154,#3155,#3156,#3157, #3158,#3159,#3160,#3161,#3162,#3163,#3164,#3165,#3166,#3167,#3168,#3169, #3170,#3171,#3172,#3173,#3174,#3175,#3176,#3177,#3178,#3179,#3180,#3181)); #3324=CLOSED_SHELL('',(#3182,#3183,#3184,#3185,#3186,#3187,#3188,#3189, #3190,#3191,#3192,#3193,#3194,#3195,#3196,#3197,#3198,#3199,#3200,#3201, #3202,#3203,#3204,#3205,#3206,#3207,#3208,#3209,#3210,#3211,#3212,#3213, #3214,#3215)); #3325=CLOSED_SHELL('',(#3216,#3217,#3218,#3219,#3220,#3221,#3222,#3223, #3224,#3225,#3226,#3227,#3228)); #3326=CLOSED_SHELL('',(#3229,#3230,#3231,#3232,#3233,#3234,#3235,#3236, #3237,#3238,#3239,#3240,#3241,#3242,#3243,#3244,#3245,#3246,#3247,#3248, #3249,#3250,#3251,#3252,#3253,#3254,#3255,#3256,#3257,#3258,#3259,#3260, #3261,#3262,#3263,#3264,#3265,#3266,#3267,#3268,#3269,#3270,#3271,#3272, #3273,#3274,#3275,#3276,#3277,#3278,#3279,#3280,#3281,#3282,#3283,#3284, #3285,#3286,#3287,#3288,#3289)); #3327=CLOSED_SHELL('',(#3290,#3291,#3292)); #3328=CLOSED_SHELL('',(#3293,#3294,#3295,#3296)); #3329=CLOSED_SHELL('',(#3297,#3298,#3299,#3300,#3301,#3302,#3303,#3304, #3305,#3306,#3307,#3308,#3309,#3310,#3311,#3312,#3313,#3314,#3315,#3316, #3317,#3318,#3319,#3320,#3321,#3322)); #3330=DERIVED_UNIT_ELEMENT(#3344,1.); #3331=DERIVED_UNIT_ELEMENT(#6044,-3.); #3332=DERIVED_UNIT_ELEMENT(#3344,1.); #3333=DERIVED_UNIT_ELEMENT(#6044,-3.); #3334=DERIVED_UNIT_ELEMENT(#3344,1.); #3335=DERIVED_UNIT_ELEMENT(#6044,-3.); #3336=DERIVED_UNIT_ELEMENT(#3344,1.); #3337=DERIVED_UNIT_ELEMENT(#6044,-3.); #3338=DERIVED_UNIT_ELEMENT(#3344,1.); #3339=DERIVED_UNIT_ELEMENT(#6044,-3.); #3340=DERIVED_UNIT_ELEMENT(#3344,1.); #3341=DERIVED_UNIT_ELEMENT(#6044,-3.); #3342=DERIVED_UNIT_ELEMENT(#3344,1.); #3343=DERIVED_UNIT_ELEMENT(#6044,-3.); #3344=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT($,.GRAM.) ); #3345=DERIVED_UNIT((#3330,#3331)); #3346=DERIVED_UNIT((#3332,#3333)); #3347=DERIVED_UNIT((#3334,#3335)); #3348=DERIVED_UNIT((#3336,#3337)); #3349=DERIVED_UNIT((#3338,#3339)); #3350=DERIVED_UNIT((#3340,#3341)); #3351=DERIVED_UNIT((#3342,#3343)); #3352=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#3345); #3353=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#3346); #3354=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#3347); #3355=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.13),#3348); #3356=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.73),#3349); #3357=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.425),#3350); #3358=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.86),#3351); #3359=PROPERTY_DEFINITION_REPRESENTATION(#3394,#3373); #3360=PROPERTY_DEFINITION_REPRESENTATION(#3395,#3374); #3361=PROPERTY_DEFINITION_REPRESENTATION(#3396,#3375); #3362=PROPERTY_DEFINITION_REPRESENTATION(#3397,#3376); #3363=PROPERTY_DEFINITION_REPRESENTATION(#3398,#3377); #3364=PROPERTY_DEFINITION_REPRESENTATION(#3399,#3378); #3365=PROPERTY_DEFINITION_REPRESENTATION(#3400,#3379); #3366=PROPERTY_DEFINITION_REPRESENTATION(#3401,#3380); #3367=PROPERTY_DEFINITION_REPRESENTATION(#3402,#3381); #3368=PROPERTY_DEFINITION_REPRESENTATION(#3403,#3382); #3369=PROPERTY_DEFINITION_REPRESENTATION(#3404,#3383); #3370=PROPERTY_DEFINITION_REPRESENTATION(#3405,#3384); #3371=PROPERTY_DEFINITION_REPRESENTATION(#3406,#3385); #3372=PROPERTY_DEFINITION_REPRESENTATION(#3407,#3386); #3373=REPRESENTATION('material name',(#3387),#6034); #3374=REPRESENTATION('density',(#3352),#6034); #3375=REPRESENTATION('material name',(#3388),#6035); #3376=REPRESENTATION('density',(#3353),#6035); #3377=REPRESENTATION('material name',(#3389),#6036); #3378=REPRESENTATION('density',(#3354),#6036); #3379=REPRESENTATION('material name',(#3390),#6038); #3380=REPRESENTATION('density',(#3355),#6038); #3381=REPRESENTATION('material name',(#3391),#6039); #3382=REPRESENTATION('density',(#3356),#6039); #3383=REPRESENTATION('material name',(#3392),#6040); #3384=REPRESENTATION('density',(#3357),#6040); #3385=REPRESENTATION('material name',(#3393),#6041); #3386=REPRESENTATION('density',(#3358),#6041); #3387=DESCRIPTIVE_REPRESENTATION_ITEM('Acier C9D galvanis\X\E9', 'Acier C9D galvanis\X\E9'); #3388=DESCRIPTIVE_REPRESENTATION_ITEM('G\X\E9n\X\E9rique','G\X\E9n\X\E9 rique'); #3389=DESCRIPTIVE_REPRESENTATION_ITEM('Acier, doux','Acier, doux'); #3390=DESCRIPTIVE_REPRESENTATION_ITEM('PA66','PA66'); #3391=DESCRIPTIVE_REPRESENTATION_ITEM('Acier S300PB','Acier S300PB'); #3392=DESCRIPTIVE_REPRESENTATION_ITEM('R\X\E9sine ac\X\E9tal, blanc', 'R\X\E9sine ac\X\E9tal, blanc'); #3393=DESCRIPTIVE_REPRESENTATION_ITEM('Acier doux','Acier doux'); #3394=PROPERTY_DEFINITION('material property','material name',#6098); #3395=PROPERTY_DEFINITION('material property','density of part',#6098); #3396=PROPERTY_DEFINITION('material property','material name',#6099); #3397=PROPERTY_DEFINITION('material property','density of part',#6099); #3398=PROPERTY_DEFINITION('material property','material name',#6100); #3399=PROPERTY_DEFINITION('material property','density of part',#6100); #3400=PROPERTY_DEFINITION('material property','material name',#6102); #3401=PROPERTY_DEFINITION('material property','density of part',#6102); #3402=PROPERTY_DEFINITION('material property','material name',#6103); #3403=PROPERTY_DEFINITION('material property','density of part',#6103); #3404=PROPERTY_DEFINITION('material property','material name',#6104); #3405=PROPERTY_DEFINITION('material property','density of part',#6104); #3406=PROPERTY_DEFINITION('material property','material name',#6105); #3407=PROPERTY_DEFINITION('material property','density of part',#6105); #3408=PRESENTATION_STYLE_ASSIGNMENT((#3415)); #3409=PRESENTATION_STYLE_ASSIGNMENT((#3416)); #3410=PRESENTATION_STYLE_ASSIGNMENT((#3417)); #3411=PRESENTATION_STYLE_ASSIGNMENT((#3418)); #3412=PRESENTATION_STYLE_ASSIGNMENT((#3419)); #3413=PRESENTATION_STYLE_ASSIGNMENT((#3420)); #3414=PRESENTATION_STYLE_ASSIGNMENT((#3421)); #3415=SURFACE_STYLE_USAGE(.BOTH.,#3436); #3416=SURFACE_STYLE_USAGE(.BOTH.,#3437); #3417=SURFACE_STYLE_USAGE(.BOTH.,#3438); #3418=SURFACE_STYLE_USAGE(.BOTH.,#3439); #3419=SURFACE_STYLE_USAGE(.BOTH.,#3440); #3420=SURFACE_STYLE_USAGE(.BOTH.,#3441); #3421=SURFACE_STYLE_USAGE(.BOTH.,#3442); #3422=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3464,(#3429)); #3423=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3465,(#3430)); #3424=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3466,(#3431)); #3425=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3467,(#3432)); #3426=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3468,(#3433)); #3427=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3469,(#3434)); #3428=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3470,(#3435)); #3429=SURFACE_STYLE_TRANSPARENT(0.); #3430=SURFACE_STYLE_TRANSPARENT(0.); #3431=SURFACE_STYLE_TRANSPARENT(0.); #3432=SURFACE_STYLE_TRANSPARENT(0.); #3433=SURFACE_STYLE_TRANSPARENT(0.); #3434=SURFACE_STYLE_TRANSPARENT(0.); #3435=SURFACE_STYLE_TRANSPARENT(0.); #3436=SURFACE_SIDE_STYLE('',(#3443,#3422)); #3437=SURFACE_SIDE_STYLE('',(#3444,#3423)); #3438=SURFACE_SIDE_STYLE('',(#3445,#3424)); #3439=SURFACE_SIDE_STYLE('',(#3446,#3425)); #3440=SURFACE_SIDE_STYLE('',(#3447,#3426)); #3441=SURFACE_SIDE_STYLE('',(#3448,#3427)); #3442=SURFACE_SIDE_STYLE('',(#3449,#3428)); #3443=SURFACE_STYLE_FILL_AREA(#3450); #3444=SURFACE_STYLE_FILL_AREA(#3451); #3445=SURFACE_STYLE_FILL_AREA(#3452); #3446=SURFACE_STYLE_FILL_AREA(#3453); #3447=SURFACE_STYLE_FILL_AREA(#3454); #3448=SURFACE_STYLE_FILL_AREA(#3455); #3449=SURFACE_STYLE_FILL_AREA(#3456); #3450=FILL_AREA_STYLE('',(#3457)); #3451=FILL_AREA_STYLE('',(#3458)); #3452=FILL_AREA_STYLE('',(#3459)); #3453=FILL_AREA_STYLE('',(#3460)); #3454=FILL_AREA_STYLE('',(#3461)); #3455=FILL_AREA_STYLE('',(#3462)); #3456=FILL_AREA_STYLE('',(#3463)); #3457=FILL_AREA_STYLE_COLOUR('',#3464); #3458=FILL_AREA_STYLE_COLOUR('',#3465); #3459=FILL_AREA_STYLE_COLOUR('',#3466); #3460=FILL_AREA_STYLE_COLOUR('',#3467); #3461=FILL_AREA_STYLE_COLOUR('',#3468); #3462=FILL_AREA_STYLE_COLOUR('',#3469); #3463=FILL_AREA_STYLE_COLOUR('',#3470); #3464=COLOUR_RGB('',0.745098039215686,0.737254901960784,0.729411764705882); #3465=COLOUR_RGB('',0.752941176470588,0.752941176470588,0.752941176470588); #3466=COLOUR_RGB('',0.745098039215686,0.737254901960784,0.729411764705882); #3467=COLOUR_RGB('',1.,0.968627450980392,0.725490196078431); #3468=COLOUR_RGB('',0.647058823529412,0.67843137254902,0.694117647058824); #3469=COLOUR_RGB('',0.92156862745098,0.92156862745098,0.92156862745098); #3470=COLOUR_RGB('',0.87843137254902,0.874509803921569,0.858823529411765); #3471=DATE_TIME_ROLE('creation_date'); #3472=DATE_TIME_ROLE('creation_date'); #3473=DATE_TIME_ROLE('creation_date'); #3474=DATE_TIME_ROLE('creation_date'); #3475=DATE_TIME_ROLE('creation_date'); #3476=DATE_TIME_ROLE('creation_date'); #3477=DATE_TIME_ROLE('creation_date'); #3478=DATE_TIME_ROLE('creation_date'); #3479=DATE_TIME_ROLE('creation_date'); #3480=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#3489,#3471,(#6097)); #3481=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#3490,#3472,(#6098)); #3482=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#3491,#3473,(#6099)); #3483=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#3492,#3474,(#6100)); #3484=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#3493,#3475,(#6101)); #3485=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#3494,#3476,(#6102)); #3486=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#3495,#3477,(#6103)); #3487=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#3496,#3478,(#6104)); #3488=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#3497,#3479,(#6105)); #3489=DATE_AND_TIME(#3498,#3507); #3490=DATE_AND_TIME(#3499,#3508); #3491=DATE_AND_TIME(#3500,#3509); #3492=DATE_AND_TIME(#3501,#3510); #3493=DATE_AND_TIME(#3502,#3511); #3494=DATE_AND_TIME(#3503,#3512); #3495=DATE_AND_TIME(#3504,#3513); #3496=DATE_AND_TIME(#3505,#3514); #3497=DATE_AND_TIME(#3506,#3515); #3498=CALENDAR_DATE(2003,2,7); #3499=CALENDAR_DATE(2003,1,7); #3500=CALENDAR_DATE(2003,1,7); #3501=CALENDAR_DATE(2003,1,7); #3502=CALENDAR_DATE(2005,20,6); #3503=CALENDAR_DATE(2003,2,7); #3504=CALENDAR_DATE(2003,2,7); #3505=CALENDAR_DATE(2015,31,3); #3506=CALENDAR_DATE(2022,11,4); #3507=LOCAL_TIME(0,0,0.,#3516); #3508=LOCAL_TIME(0,0,0.,#3517); #3509=LOCAL_TIME(0,0,0.,#3518); #3510=LOCAL_TIME(0,0,0.,#3519); #3511=LOCAL_TIME(0,0,0.,#3520); #3512=LOCAL_TIME(0,0,0.,#3521); #3513=LOCAL_TIME(0,0,0.,#3522); #3514=LOCAL_TIME(0,0,0.,#3523); #3515=LOCAL_TIME(0,0,0.,#3524); #3516=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #3517=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #3518=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #3519=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #3520=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #3521=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #3522=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #3523=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #3524=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #3525=AXIS2_PLACEMENT_3D('placement',#5045,#3949,#3950); #3526=AXIS2_PLACEMENT_3D('placement',#5046,#3951,#3952); #3527=AXIS2_PLACEMENT_3D('',#5047,#3953,#3954); #3528=AXIS2_PLACEMENT_3D('',#5049,#3955,#3956); #3529=AXIS2_PLACEMENT_3D('',#5052,#3958,#3959); #3530=AXIS2_PLACEMENT_3D('',#5053,#3960,#3961); #3531=AXIS2_PLACEMENT_3D('',#5054,#3962,#3963); #3532=AXIS2_PLACEMENT_3D('',#5057,#3964,#3965); #3533=AXIS2_PLACEMENT_3D('',#5061,#3967,#3968); #3534=AXIS2_PLACEMENT_3D('',#5063,#3970,#3971); #3535=AXIS2_PLACEMENT_3D('',#5065,#3972,#3973); #3536=AXIS2_PLACEMENT_3D('',#5067,#3974,#3975); #3537=AXIS2_PLACEMENT_3D('',#5069,#3977,#3978); #3538=AXIS2_PLACEMENT_3D('',#5071,#3979,#3980); #3539=AXIS2_PLACEMENT_3D('',#5073,#3981,#3982); #3540=AXIS2_PLACEMENT_3D('',#5075,#3984,#3985); #3541=AXIS2_PLACEMENT_3D('',#5081,#3989,#3990); #3542=AXIS2_PLACEMENT_3D('',#5083,#3991,#3992); #3543=AXIS2_PLACEMENT_3D('',#5085,#3993,#3994); #3544=AXIS2_PLACEMENT_3D('',#5087,#3996,#3997); #3545=AXIS2_PLACEMENT_3D('',#5089,#3998,#3999); #3546=AXIS2_PLACEMENT_3D('',#5091,#4000,#4001); #3547=AXIS2_PLACEMENT_3D('',#5093,#4003,#4004); #3548=AXIS2_PLACEMENT_3D('',#5099,#4008,#4009); #3549=AXIS2_PLACEMENT_3D('',#5105,#4013,#4014); #3550=AXIS2_PLACEMENT_3D('',#5111,#4018,#4019); #3551=AXIS2_PLACEMENT_3D('',#5117,#4023,#4024); #3552=AXIS2_PLACEMENT_3D('',#5120,#4027,#4028); #3553=AXIS2_PLACEMENT_3D('',#5129,#4033,#4034); #3554=AXIS2_PLACEMENT_3D('',#5135,#4038,#4039); #3555=AXIS2_PLACEMENT_3D('',#5141,#4043,#4044); #3556=AXIS2_PLACEMENT_3D('',#5143,#4045,#4046); #3557=AXIS2_PLACEMENT_3D('',#5146,#4048,#4049); #3558=AXIS2_PLACEMENT_3D('',#5147,#4050,#4051); #3559=AXIS2_PLACEMENT_3D('',#5149,#4052,#4053); #3560=AXIS2_PLACEMENT_3D('',#5152,#4055,#4056); #3561=AXIS2_PLACEMENT_3D('',#5153,#4057,#4058); #3562=AXIS2_PLACEMENT_3D('',#5159,#4062,#4063); #3563=AXIS2_PLACEMENT_3D('',#5162,#4066,#4067); #3564=AXIS2_PLACEMENT_3D('',#5163,#4068,#4069); #3565=AXIS2_PLACEMENT_3D('',#5166,#4070,#4071); #3566=AXIS2_PLACEMENT_3D('',#5170,#4073,#4074); #3567=AXIS2_PLACEMENT_3D('',#5173,#4076,#4077); #3568=AXIS2_PLACEMENT_3D('',#5175,#4078,#4079); #3569=AXIS2_PLACEMENT_3D('',#5177,#4080,#4081); #3570=AXIS2_PLACEMENT_3D('',#5178,#4082,#4083); #3571=AXIS2_PLACEMENT_3D('',#5180,#4084,#4085); #3572=AXIS2_PLACEMENT_3D('',#5184,#4087,#4088); #3573=AXIS2_PLACEMENT_3D('',#5185,#4089,#4090); #3574=AXIS2_PLACEMENT_3D('',#5186,#4091,#4092); #3575=AXIS2_PLACEMENT_3D('',#5188,#4094,#4095); #3576=AXIS2_PLACEMENT_3D('',#5193,#4097,#4098); #3577=AXIS2_PLACEMENT_3D('',#5196,#4100,#4101); #3578=AXIS2_PLACEMENT_3D('',#5198,#4102,#4103); #3579=AXIS2_PLACEMENT_3D('',#5200,#4104,#4105); #3580=AXIS2_PLACEMENT_3D('',#5201,#4106,#4107); #3581=AXIS2_PLACEMENT_3D('',#5203,#4109,#4110); #3582=AXIS2_PLACEMENT_3D('',#5205,#4112,#4113); #3583=AXIS2_PLACEMENT_3D('',#5208,#4116,#4117); #3584=AXIS2_PLACEMENT_3D('',#5210,#4119,#4120); #3585=AXIS2_PLACEMENT_3D('',#5212,#4122,#4123); #3586=AXIS2_PLACEMENT_3D('',#5213,#4124,#4125); #3587=AXIS2_PLACEMENT_3D('placement',#5214,#4126,#4127); #3588=AXIS2_PLACEMENT_3D('',#5215,#4128,#4129); #3589=AXIS2_PLACEMENT_3D('',#5228,#4136,#4137); #3590=AXIS2_PLACEMENT_3D('',#5234,#4141,#4142); #3591=AXIS2_PLACEMENT_3D('',#5243,#4147,#4148); #3592=AXIS2_PLACEMENT_3D('',#5245,#4149,#4150); #3593=AXIS2_PLACEMENT_3D('',#5247,#4151,#4152); #3594=AXIS2_PLACEMENT_3D('',#5249,#4154,#4155); #3595=AXIS2_PLACEMENT_3D('',#5256,#4159,#4160); #3596=AXIS2_PLACEMENT_3D('',#5262,#4163,#4164); #3597=AXIS2_PLACEMENT_3D('',#5265,#4166,#4167); #3598=AXIS2_PLACEMENT_3D('',#5266,#4168,#4169); #3599=AXIS2_PLACEMENT_3D('',#5268,#4170,#4171); #3600=AXIS2_PLACEMENT_3D('',#5280,#4177,#4178); #3601=AXIS2_PLACEMENT_3D('',#5283,#4180,#4181); #3602=AXIS2_PLACEMENT_3D('',#5284,#4182,#4183); #3603=AXIS2_PLACEMENT_3D('',#5286,#4184,#4185); #3604=AXIS2_PLACEMENT_3D('',#5289,#4187,#4188); #3605=AXIS2_PLACEMENT_3D('',#5290,#4189,#4190); #3606=AXIS2_PLACEMENT_3D('',#5296,#4194,#4195); #3607=AXIS2_PLACEMENT_3D('',#5298,#4196,#4197); #3608=AXIS2_PLACEMENT_3D('',#5301,#4199,#4200); #3609=AXIS2_PLACEMENT_3D('',#5302,#4201,#4202); #3610=AXIS2_PLACEMENT_3D('',#5306,#4204,#4205); #3611=AXIS2_PLACEMENT_3D('',#5310,#4207,#4208); #3612=AXIS2_PLACEMENT_3D('',#5314,#4210,#4211); #3613=AXIS2_PLACEMENT_3D('',#5318,#4213,#4214); #3614=AXIS2_PLACEMENT_3D('',#5321,#4216,#4217); #3615=AXIS2_PLACEMENT_3D('',#5323,#4218,#4219); #3616=AXIS2_PLACEMENT_3D('',#5325,#4220,#4221); #3617=AXIS2_PLACEMENT_3D('',#5327,#4222,#4223); #3618=AXIS2_PLACEMENT_3D('',#5329,#4224,#4225); #3619=AXIS2_PLACEMENT_3D('',#5330,#4226,#4227); #3620=AXIS2_PLACEMENT_3D('',#5332,#4228,#4229); #3621=AXIS2_PLACEMENT_3D('',#5335,#4231,#4232); #3622=AXIS2_PLACEMENT_3D('',#5336,#4233,#4234); #3623=AXIS2_PLACEMENT_3D('',#5340,#4237,#4238); #3624=AXIS2_PLACEMENT_3D('',#5344,#4240,#4241); #3625=AXIS2_PLACEMENT_3D('',#5346,#4243,#4244); #3626=AXIS2_PLACEMENT_3D('',#5350,#4247,#4248); #3627=AXIS2_PLACEMENT_3D('',#5353,#4250,#4251); #3628=AXIS2_PLACEMENT_3D('',#5354,#4252,#4253); #3629=AXIS2_PLACEMENT_3D('',#5357,#4256,#4257); #3630=AXIS2_PLACEMENT_3D('',#5359,#4259,#4260); #3631=AXIS2_PLACEMENT_3D('',#5361,#4262,#4263); #3632=AXIS2_PLACEMENT_3D('',#5363,#4265,#4266); #3633=AXIS2_PLACEMENT_3D('',#5365,#4268,#4269); #3634=AXIS2_PLACEMENT_3D('',#5368,#4271,#4272); #3635=AXIS2_PLACEMENT_3D('',#5369,#4273,#4274); #3636=AXIS2_PLACEMENT_3D('',#5372,#4276,#4277); #3637=AXIS2_PLACEMENT_3D('',#5373,#4278,#4279); #3638=AXIS2_PLACEMENT_3D('',#5376,#4281,#4282); #3639=AXIS2_PLACEMENT_3D('',#5377,#4283,#4284); #3640=AXIS2_PLACEMENT_3D('',#5380,#4286,#4287); #3641=AXIS2_PLACEMENT_3D('',#5381,#4288,#4289); #3642=AXIS2_PLACEMENT_3D('',#5384,#4291,#4292); #3643=AXIS2_PLACEMENT_3D('',#5385,#4293,#4294); #3644=AXIS2_PLACEMENT_3D('',#5389,#4297,#4298); #3645=AXIS2_PLACEMENT_3D('',#5390,#4299,#4300); #3646=AXIS2_PLACEMENT_3D('',#5392,#4302,#4303); #3647=AXIS2_PLACEMENT_3D('',#5394,#4305,#4306); #3648=AXIS2_PLACEMENT_3D('',#5398,#4309,#4310); #3649=AXIS2_PLACEMENT_3D('',#5400,#4311,#4312); #3650=AXIS2_PLACEMENT_3D('',#5402,#4314,#4315); #3651=AXIS2_PLACEMENT_3D('',#5406,#4318,#4319); #3652=AXIS2_PLACEMENT_3D('',#5407,#4320,#4321); #3653=AXIS2_PLACEMENT_3D('',#5408,#4322,#4323); #3654=AXIS2_PLACEMENT_3D('placement',#5409,#4324,#4325); #3655=AXIS2_PLACEMENT_3D('',#5410,#4326,#4327); #3656=AXIS2_PLACEMENT_3D('',#5413,#4328,#4329); #3657=AXIS2_PLACEMENT_3D('',#5414,#4330,#4331); #3658=AXIS2_PLACEMENT_3D('',#5417,#4333,#4334); #3659=AXIS2_PLACEMENT_3D('',#5418,#4335,#4336); #3660=AXIS2_PLACEMENT_3D('',#5421,#4337,#4338); #3661=AXIS2_PLACEMENT_3D('',#5422,#4339,#4340); #3662=AXIS2_PLACEMENT_3D('',#5424,#4342,#4343); #3663=AXIS2_PLACEMENT_3D('',#5426,#4344,#4345); #3664=AXIS2_PLACEMENT_3D('',#5427,#4346,#4347); #3665=AXIS2_PLACEMENT_3D('',#5429,#4348,#4349); #3666=AXIS2_PLACEMENT_3D('',#5431,#4351,#4352); #3667=AXIS2_PLACEMENT_3D('',#5433,#4353,#4354); #3668=AXIS2_PLACEMENT_3D('',#5434,#4355,#4356); #3669=AXIS2_PLACEMENT_3D('',#5436,#4357,#4358); #3670=AXIS2_PLACEMENT_3D('',#5437,#4359,#4360); #3671=AXIS2_PLACEMENT_3D('',#5439,#4361,#4362); #3672=AXIS2_PLACEMENT_3D('',#5441,#4364,#4365); #3673=AXIS2_PLACEMENT_3D('',#5443,#4366,#4367); #3674=AXIS2_PLACEMENT_3D('',#5444,#4368,#4369); #3675=AXIS2_PLACEMENT_3D('',#5446,#4371,#4372); #3676=AXIS2_PLACEMENT_3D('',#5448,#4373,#4374); #3677=AXIS2_PLACEMENT_3D('',#5450,#4376,#4377); #3678=AXIS2_PLACEMENT_3D('',#5452,#4378,#4379); #3679=AXIS2_PLACEMENT_3D('',#5453,#4380,#4381); #3680=AXIS2_PLACEMENT_3D('',#5455,#4382,#4383); #3681=AXIS2_PLACEMENT_3D('',#5457,#4385,#4386); #3682=AXIS2_PLACEMENT_3D('placement',#5458,#4387,#4388); #3683=AXIS2_PLACEMENT_3D('placement',#5459,#4389,#4390); #3684=AXIS2_PLACEMENT_3D('',#5460,#4391,#4392); #3685=AXIS2_PLACEMENT_3D('',#5462,#4393,#4394); #3686=AXIS2_PLACEMENT_3D('',#5465,#4396,#4397); #3687=AXIS2_PLACEMENT_3D('',#5466,#4398,#4399); #3688=AXIS2_PLACEMENT_3D('',#5468,#4400,#4401); #3689=AXIS2_PLACEMENT_3D('',#5469,#4402,#4403); #3690=AXIS2_PLACEMENT_3D('',#5472,#4404,#4405); #3691=AXIS2_PLACEMENT_3D('',#5474,#4406,#4407); #3692=AXIS2_PLACEMENT_3D('',#5476,#4408,#4409); #3693=AXIS2_PLACEMENT_3D('',#5480,#4411,#4412); #3694=AXIS2_PLACEMENT_3D('',#5484,#4414,#4415); #3695=AXIS2_PLACEMENT_3D('',#5486,#4416,#4417); #3696=AXIS2_PLACEMENT_3D('',#5488,#4418,#4419); #3697=AXIS2_PLACEMENT_3D('',#5492,#4421,#4422); #3698=AXIS2_PLACEMENT_3D('',#5496,#4424,#4425); #3699=AXIS2_PLACEMENT_3D('',#5498,#4426,#4427); #3700=AXIS2_PLACEMENT_3D('',#5500,#4428,#4429); #3701=AXIS2_PLACEMENT_3D('',#5504,#4431,#4432); #3702=AXIS2_PLACEMENT_3D('',#5508,#4434,#4435); #3703=AXIS2_PLACEMENT_3D('',#5510,#4436,#4437); #3704=AXIS2_PLACEMENT_3D('',#5512,#4438,#4439); #3705=AXIS2_PLACEMENT_3D('',#5516,#4441,#4442); #3706=AXIS2_PLACEMENT_3D('',#5520,#4444,#4445); #3707=AXIS2_PLACEMENT_3D('',#5522,#4446,#4447); #3708=AXIS2_PLACEMENT_3D('',#5524,#4448,#4449); #3709=AXIS2_PLACEMENT_3D('',#5528,#4451,#4452); #3710=AXIS2_PLACEMENT_3D('',#5532,#4454,#4455); #3711=AXIS2_PLACEMENT_3D('',#5534,#4456,#4457); #3712=AXIS2_PLACEMENT_3D('',#5536,#4458,#4459); #3713=AXIS2_PLACEMENT_3D('',#5540,#4461,#4462); #3714=AXIS2_PLACEMENT_3D('',#5544,#4464,#4465); #3715=AXIS2_PLACEMENT_3D('',#5546,#4466,#4467); #3716=AXIS2_PLACEMENT_3D('',#5548,#4468,#4469); #3717=AXIS2_PLACEMENT_3D('',#5552,#4471,#4472); #3718=AXIS2_PLACEMENT_3D('',#5556,#4474,#4475); #3719=AXIS2_PLACEMENT_3D('',#5558,#4476,#4477); #3720=AXIS2_PLACEMENT_3D('',#5560,#4478,#4479); #3721=AXIS2_PLACEMENT_3D('',#5564,#4481,#4482); #3722=AXIS2_PLACEMENT_3D('',#5568,#4484,#4485); #3723=AXIS2_PLACEMENT_3D('',#5570,#4486,#4487); #3724=AXIS2_PLACEMENT_3D('',#5572,#4488,#4489); #3725=AXIS2_PLACEMENT_3D('',#5574,#4490,#4491); #3726=AXIS2_PLACEMENT_3D('',#5578,#4493,#4494); #3727=AXIS2_PLACEMENT_3D('',#5580,#4496,#4497); #3728=AXIS2_PLACEMENT_3D('',#5587,#4501,#4502); #3729=AXIS2_PLACEMENT_3D('',#5592,#4505,#4506); #3730=AXIS2_PLACEMENT_3D('',#5597,#4509,#4510); #3731=AXIS2_PLACEMENT_3D('',#5602,#4513,#4514); #3732=AXIS2_PLACEMENT_3D('',#5607,#4517,#4518); #3733=AXIS2_PLACEMENT_3D('',#5612,#4521,#4522); #3734=AXIS2_PLACEMENT_3D('',#5617,#4525,#4526); #3735=AXIS2_PLACEMENT_3D('',#5622,#4529,#4530); #3736=AXIS2_PLACEMENT_3D('',#5626,#4533,#4534); #3737=AXIS2_PLACEMENT_3D('',#5627,#4535,#4536); #3738=AXIS2_PLACEMENT_3D('',#5629,#4537,#4538); #3739=AXIS2_PLACEMENT_3D('',#5632,#4540,#4541); #3740=AXIS2_PLACEMENT_3D('',#5633,#4542,#4543); #3741=AXIS2_PLACEMENT_3D('',#5636,#4545,#4546); #3742=AXIS2_PLACEMENT_3D('',#5639,#4548,#4549); #3743=AXIS2_PLACEMENT_3D('',#5640,#4550,#4551); #3744=AXIS2_PLACEMENT_3D('',#5644,#4553,#4554); #3745=AXIS2_PLACEMENT_3D('',#5646,#4556,#4557); #3746=AXIS2_PLACEMENT_3D('',#5647,#4558,#4559); #3747=AXIS2_PLACEMENT_3D('',#5650,#4561,#4562); #3748=AXIS2_PLACEMENT_3D('',#5653,#4564,#4565); #3749=AXIS2_PLACEMENT_3D('',#5654,#4566,#4567); #3750=AXIS2_PLACEMENT_3D('',#5657,#4569,#4570); #3751=AXIS2_PLACEMENT_3D('',#5660,#4572,#4573); #3752=AXIS2_PLACEMENT_3D('',#5661,#4574,#4575); #3753=AXIS2_PLACEMENT_3D('',#5665,#4577,#4578); #3754=AXIS2_PLACEMENT_3D('',#5667,#4580,#4581); #3755=AXIS2_PLACEMENT_3D('',#5668,#4582,#4583); #3756=AXIS2_PLACEMENT_3D('',#5671,#4585,#4586); #3757=AXIS2_PLACEMENT_3D('',#5674,#4588,#4589); #3758=AXIS2_PLACEMENT_3D('',#5675,#4590,#4591); #3759=AXIS2_PLACEMENT_3D('',#5678,#4593,#4594); #3760=AXIS2_PLACEMENT_3D('',#5681,#4596,#4597); #3761=AXIS2_PLACEMENT_3D('',#5682,#4598,#4599); #3762=AXIS2_PLACEMENT_3D('',#5685,#4601,#4602); #3763=AXIS2_PLACEMENT_3D('',#5688,#4604,#4605); #3764=AXIS2_PLACEMENT_3D('',#5689,#4606,#4607); #3765=AXIS2_PLACEMENT_3D('',#5693,#4609,#4610); #3766=AXIS2_PLACEMENT_3D('',#5695,#4612,#4613); #3767=AXIS2_PLACEMENT_3D('',#5696,#4614,#4615); #3768=AXIS2_PLACEMENT_3D('',#5702,#4619,#4620); #3769=AXIS2_PLACEMENT_3D('',#5703,#4621,#4622); #3770=AXIS2_PLACEMENT_3D('',#5704,#4623,#4624); #3771=AXIS2_PLACEMENT_3D('',#5707,#4626,#4627); #3772=AXIS2_PLACEMENT_3D('',#5708,#4628,#4629); #3773=AXIS2_PLACEMENT_3D('',#5712,#4632,#4633); #3774=AXIS2_PLACEMENT_3D('',#5713,#4634,#4635); #3775=AXIS2_PLACEMENT_3D('',#5714,#4636,#4637); #3776=AXIS2_PLACEMENT_3D('',#5716,#4638,#4639); #3777=AXIS2_PLACEMENT_3D('',#5718,#4641,#4642); #3778=AXIS2_PLACEMENT_3D('',#5721,#4644,#4645); #3779=AXIS2_PLACEMENT_3D('',#5722,#4646,#4647); #3780=AXIS2_PLACEMENT_3D('',#5726,#4650,#4651); #3781=AXIS2_PLACEMENT_3D('',#5729,#4653,#4654); #3782=AXIS2_PLACEMENT_3D('',#5730,#4655,#4656); #3783=AXIS2_PLACEMENT_3D('',#5732,#4658,#4659); #3784=AXIS2_PLACEMENT_3D('',#5734,#4660,#4661); #3785=AXIS2_PLACEMENT_3D('',#5736,#4663,#4664); #3786=AXIS2_PLACEMENT_3D('',#5739,#4666,#4667); #3787=AXIS2_PLACEMENT_3D('',#5740,#4668,#4669); #3788=AXIS2_PLACEMENT_3D('',#5744,#4672,#4673); #3789=AXIS2_PLACEMENT_3D('',#5747,#4675,#4676); #3790=AXIS2_PLACEMENT_3D('',#5748,#4677,#4678); #3791=AXIS2_PLACEMENT_3D('',#5750,#4680,#4681); #3792=AXIS2_PLACEMENT_3D('',#5752,#4682,#4683); #3793=AXIS2_PLACEMENT_3D('',#5754,#4685,#4686); #3794=AXIS2_PLACEMENT_3D('',#5757,#4688,#4689); #3795=AXIS2_PLACEMENT_3D('',#5758,#4690,#4691); #3796=AXIS2_PLACEMENT_3D('',#5762,#4694,#4695); #3797=AXIS2_PLACEMENT_3D('',#5765,#4697,#4698); #3798=AXIS2_PLACEMENT_3D('',#5766,#4699,#4700); #3799=AXIS2_PLACEMENT_3D('',#5768,#4702,#4703); #3800=AXIS2_PLACEMENT_3D('',#5770,#4704,#4705); #3801=AXIS2_PLACEMENT_3D('',#5772,#4707,#4708); #3802=AXIS2_PLACEMENT_3D('',#5775,#4710,#4711); #3803=AXIS2_PLACEMENT_3D('',#5776,#4712,#4713); #3804=AXIS2_PLACEMENT_3D('',#5780,#4716,#4717); #3805=AXIS2_PLACEMENT_3D('',#5783,#4719,#4720); #3806=AXIS2_PLACEMENT_3D('',#5784,#4721,#4722); #3807=AXIS2_PLACEMENT_3D('',#5786,#4724,#4725); #3808=AXIS2_PLACEMENT_3D('',#5790,#4727,#4728); #3809=AXIS2_PLACEMENT_3D('',#5792,#4730,#4731); #3810=AXIS2_PLACEMENT_3D('',#5796,#4734,#4735); #3811=AXIS2_PLACEMENT_3D('',#5797,#4736,#4737); #3812=AXIS2_PLACEMENT_3D('',#5798,#4738,#4739); #3813=AXIS2_PLACEMENT_3D('',#5801,#4741,#4742); #3814=AXIS2_PLACEMENT_3D('',#5802,#4743,#4744); #3815=AXIS2_PLACEMENT_3D('',#5804,#4746,#4747); #3816=AXIS2_PLACEMENT_3D('',#5806,#4748,#4749); #3817=AXIS2_PLACEMENT_3D('',#5808,#4751,#4752); #3818=AXIS2_PLACEMENT_3D('',#5811,#4754,#4755); #3819=AXIS2_PLACEMENT_3D('',#5812,#4756,#4757); #3820=AXIS2_PLACEMENT_3D('',#5816,#4760,#4761); #3821=AXIS2_PLACEMENT_3D('',#5819,#4763,#4764); #3822=AXIS2_PLACEMENT_3D('',#5820,#4765,#4766); #3823=AXIS2_PLACEMENT_3D('',#5822,#4768,#4769); #3824=AXIS2_PLACEMENT_3D('',#5826,#4771,#4772); #3825=AXIS2_PLACEMENT_3D('',#5828,#4774,#4775); #3826=AXIS2_PLACEMENT_3D('',#5832,#4778,#4779); #3827=AXIS2_PLACEMENT_3D('',#5833,#4780,#4781); #3828=AXIS2_PLACEMENT_3D('',#5834,#4782,#4783); #3829=AXIS2_PLACEMENT_3D('',#5837,#4785,#4786); #3830=AXIS2_PLACEMENT_3D('',#5838,#4787,#4788); #3831=AXIS2_PLACEMENT_3D('',#5840,#4790,#4791); #3832=AXIS2_PLACEMENT_3D('',#5843,#4793,#4794); #3833=AXIS2_PLACEMENT_3D('',#5844,#4795,#4796); #3834=AXIS2_PLACEMENT_3D('',#5848,#4799,#4800); #3835=AXIS2_PLACEMENT_3D('',#5851,#4802,#4803); #3836=AXIS2_PLACEMENT_3D('',#5852,#4804,#4805); #3837=AXIS2_PLACEMENT_3D('',#5856,#4808,#4809); #3838=AXIS2_PLACEMENT_3D('',#5857,#4810,#4811); #3839=AXIS2_PLACEMENT_3D('',#5858,#4812,#4813); #3840=AXIS2_PLACEMENT_3D('',#5859,#4814,#4815); #3841=AXIS2_PLACEMENT_3D('placement',#5860,#4816,#4817); #3842=AXIS2_PLACEMENT_3D('',#5861,#4818,#4819); #3843=AXIS2_PLACEMENT_3D('',#5863,#4820,#4821); #3844=AXIS2_PLACEMENT_3D('',#5864,#4822,#4823); #3845=AXIS2_PLACEMENT_3D('',#5866,#4824,#4825); #3846=AXIS2_PLACEMENT_3D('',#5868,#4827,#4828); #3847=AXIS2_PLACEMENT_3D('',#5869,#4829,#4830); #3848=AXIS2_PLACEMENT_3D('',#5870,#4831,#4832); #3849=AXIS2_PLACEMENT_3D('',#5871,#4833,#4834); #3850=AXIS2_PLACEMENT_3D('',#5872,#4835,#4836); #3851=AXIS2_PLACEMENT_3D('',#5873,#4837,#4838); #3852=AXIS2_PLACEMENT_3D('',#5874,#4839,#4840); #3853=AXIS2_PLACEMENT_3D('',#5875,#4841,#4842); #3854=AXIS2_PLACEMENT_3D('',#5876,#4843,#4844); #3855=AXIS2_PLACEMENT_3D('',#5877,#4845,#4846); #3856=AXIS2_PLACEMENT_3D('',#5878,#4847,#4848); #3857=AXIS2_PLACEMENT_3D('placement',#5879,#4849,#4850); #3858=AXIS2_PLACEMENT_3D('',#5880,#4851,#4852); #3859=AXIS2_PLACEMENT_3D('',#5882,#4853,#4854); #3860=AXIS2_PLACEMENT_3D('',#5885,#4856,#4857); #3861=AXIS2_PLACEMENT_3D('',#5886,#4858,#4859); #3862=AXIS2_PLACEMENT_3D('',#5888,#4860,#4861); #3863=AXIS2_PLACEMENT_3D('',#5889,#4862,#4863); #3864=AXIS2_PLACEMENT_3D('',#5891,#4864,#4865); #3865=AXIS2_PLACEMENT_3D('',#5893,#4867,#4868); #3866=AXIS2_PLACEMENT_3D('placement',#5894,#4869,#4870); #3867=AXIS2_PLACEMENT_3D('',#5895,#4871,#4872); #3868=AXIS2_PLACEMENT_3D('',#5897,#4873,#4874); #3869=AXIS2_PLACEMENT_3D('',#5899,#4875,#4876); #3870=AXIS2_PLACEMENT_3D('',#5901,#4877,#4878); #3871=AXIS2_PLACEMENT_3D('',#5902,#4879,#4880); #3872=AXIS2_PLACEMENT_3D('',#5903,#4881,#4882); #3873=AXIS2_PLACEMENT_3D('',#5905,#4883,#4884); #3874=AXIS2_PLACEMENT_3D('',#5906,#4885,#4886); #3875=AXIS2_PLACEMENT_3D('',#5909,#4887,#4888); #3876=AXIS2_PLACEMENT_3D('',#5911,#4890,#4891); #3877=AXIS2_PLACEMENT_3D('',#5912,#4892,#4893); #3878=AXIS2_PLACEMENT_3D('',#5914,#4894,#4895); #3879=AXIS2_PLACEMENT_3D('',#5916,#4896,#4897); #3880=AXIS2_PLACEMENT_3D('',#5917,#4898,#4899); #3881=AXIS2_PLACEMENT_3D('',#5918,#4900,#4901); #3882=AXIS2_PLACEMENT_3D('',#5922,#4903,#4904); #3883=AXIS2_PLACEMENT_3D('',#5923,#4905,#4906); #3884=AXIS2_PLACEMENT_3D('',#5924,#4907,#4908); #3885=AXIS2_PLACEMENT_3D('',#5927,#4909,#4910); #3886=AXIS2_PLACEMENT_3D('',#5929,#4911,#4912); #3887=AXIS2_PLACEMENT_3D('',#5930,#4913,#4914); #3888=AXIS2_PLACEMENT_3D('',#5931,#4915,#4916); #3889=AXIS2_PLACEMENT_3D('',#5932,#4917,#4918); #3890=AXIS2_PLACEMENT_3D('',#5934,#4919,#4920); #3891=AXIS2_PLACEMENT_3D('',#5935,#4921,#4922); #3892=AXIS2_PLACEMENT_3D('',#5939,#4924,#4925); #3893=AXIS2_PLACEMENT_3D('',#5940,#4926,#4927); #3894=AXIS2_PLACEMENT_3D('',#5941,#4928,#4929); #3895=AXIS2_PLACEMENT_3D('',#5942,#4930,#4931); #3896=AXIS2_PLACEMENT_3D('',#5943,#4932,#4933); #3897=AXIS2_PLACEMENT_3D('',#5945,#4934,#4935); #3898=AXIS2_PLACEMENT_3D('',#5949,#4937,#4938); #3899=AXIS2_PLACEMENT_3D('',#5950,#4939,#4940); #3900=AXIS2_PLACEMENT_3D('',#5951,#4941,#4942); #3901=AXIS2_PLACEMENT_3D('',#5954,#4943,#4944); #3902=AXIS2_PLACEMENT_3D('',#5955,#4945,#4946); #3903=AXIS2_PLACEMENT_3D('',#5957,#4948,#4949); #3904=AXIS2_PLACEMENT_3D('',#5959,#4950,#4951); #3905=AXIS2_PLACEMENT_3D('',#5960,#4952,#4953); #3906=AXIS2_PLACEMENT_3D('',#5963,#4955,#4956); #3907=AXIS2_PLACEMENT_3D('',#5964,#4957,#4958); #3908=AXIS2_PLACEMENT_3D('',#5966,#4959,#4960); #3909=AXIS2_PLACEMENT_3D('',#5967,#4961,#4962); #3910=AXIS2_PLACEMENT_3D('',#5970,#4964,#4965); #3911=AXIS2_PLACEMENT_3D('',#5971,#4966,#4967); #3912=AXIS2_PLACEMENT_3D('',#5973,#4968,#4969); #3913=AXIS2_PLACEMENT_3D('',#5975,#4971,#4972); #3914=AXIS2_PLACEMENT_3D('',#5977,#4973,#4974); #3915=AXIS2_PLACEMENT_3D('',#5978,#4975,#4976); #3916=AXIS2_PLACEMENT_3D('',#5981,#4977,#4978); #3917=AXIS2_PLACEMENT_3D('',#5983,#4980,#4981); #3918=AXIS2_PLACEMENT_3D('',#5984,#4982,#4983); #3919=AXIS2_PLACEMENT_3D('',#5986,#4984,#4985); #3920=AXIS2_PLACEMENT_3D('',#5987,#4986,#4987); #3921=AXIS2_PLACEMENT_3D('',#5988,#4988,#4989); #3922=AXIS2_PLACEMENT_3D('',#5990,#4990,#4991); #3923=AXIS2_PLACEMENT_3D('',#5991,#4992,#4993); #3924=AXIS2_PLACEMENT_3D('',#5992,#4994,#4995); #3925=AXIS2_PLACEMENT_3D('',#5993,#4996,#4997); #3926=AXIS2_PLACEMENT_3D('',#5995,#4998,#4999); #3927=AXIS2_PLACEMENT_3D('',#5996,#5000,#5001); #3928=AXIS2_PLACEMENT_3D('',#5997,#5002,#5003); #3929=AXIS2_PLACEMENT_3D('',#5999,#5004,#5005); #3930=AXIS2_PLACEMENT_3D('',#6000,#5006,#5007); #3931=AXIS2_PLACEMENT_3D('',#6003,#5008,#5009); #3932=AXIS2_PLACEMENT_3D('',#6004,#5010,#5011); #3933=AXIS2_PLACEMENT_3D('',#6005,#5012,#5013); #3934=AXIS2_PLACEMENT_3D('',#6006,#5014,#5015); #3935=AXIS2_PLACEMENT_3D('',#6008,#5016,#5017); #3936=AXIS2_PLACEMENT_3D('',#6010,#5019,#5020); #3937=AXIS2_PLACEMENT_3D('',#6011,#5021,#5022); #3938=AXIS2_PLACEMENT_3D('',#6012,#5023,#5024); #3939=AXIS2_PLACEMENT_3D('',#6013,#5025,#5026); #3940=AXIS2_PLACEMENT_3D('',#6014,#5027,#5028); #3941=AXIS2_PLACEMENT_3D('',#6015,#5029,#5030); #3942=AXIS2_PLACEMENT_3D('',#6016,#5031,#5032); #3943=AXIS2_PLACEMENT_3D('',#6017,#5033,#5034); #3944=AXIS2_PLACEMENT_3D('',#6018,#5035,#5036); #3945=AXIS2_PLACEMENT_3D('',#6019,#5037,#5038); #3946=AXIS2_PLACEMENT_3D('',#6020,#5039,#5040); #3947=AXIS2_PLACEMENT_3D('',#6021,#5041,#5042); #3948=AXIS2_PLACEMENT_3D('',#6022,#5043,#5044); #3949=DIRECTION('axis',(0.,0.,1.)); #3950=DIRECTION('refdir',(1.,0.,0.)); #3951=DIRECTION('axis',(0.,0.,1.)); #3952=DIRECTION('refdir',(1.,0.,0.)); #3953=DIRECTION('center_axis',(0.,0.,1.)); #3954=DIRECTION('ref_axis',(-1.,0.,0.)); #3955=DIRECTION('center_axis',(0.,0.,1.)); #3956=DIRECTION('ref_axis',(-1.,0.,0.)); #3957=DIRECTION('',(0.,0.,1.)); #3958=DIRECTION('center_axis',(0.,0.,1.)); #3959=DIRECTION('ref_axis',(-1.,0.,0.)); #3960=DIRECTION('center_axis',(0.,0.,-1.)); #3961=DIRECTION('ref_axis',(-1.,0.,0.)); #3962=DIRECTION('center_axis',(0.,0.,1.)); #3963=DIRECTION('ref_axis',(0.324117517156672,0.946016825998457,0.)); #3964=DIRECTION('center_axis',(0.,0.,1.)); #3965=DIRECTION('ref_axis',(0.324117517156672,0.946016825998457,0.)); #3966=DIRECTION('',(0.,0.,1.)); #3967=DIRECTION('center_axis',(0.,0.,1.)); #3968=DIRECTION('ref_axis',(0.324117517156672,0.946016825998457,0.)); #3969=DIRECTION('',(0.,0.,1.)); #3970=DIRECTION('center_axis',(0.,0.,1.)); #3971=DIRECTION('ref_axis',(-0.355161080586674,0.934805117035902,0.)); #3972=DIRECTION('center_axis',(0.,0.,1.)); #3973=DIRECTION('ref_axis',(-0.355161080586674,0.934805117035902,0.)); #3974=DIRECTION('center_axis',(0.,0.,1.)); #3975=DIRECTION('ref_axis',(-0.355161080586674,0.934805117035902,0.)); #3976=DIRECTION('',(0.,0.,1.)); #3977=DIRECTION('center_axis',(0.,0.,1.)); #3978=DIRECTION('ref_axis',(-1.,-4.39474725235099E-15,0.)); #3979=DIRECTION('center_axis',(0.,0.,1.)); #3980=DIRECTION('ref_axis',(-1.,-4.39474725235099E-15,0.)); #3981=DIRECTION('center_axis',(0.,0.,1.)); #3982=DIRECTION('ref_axis',(-1.,-4.39474725235099E-15,0.)); #3983=DIRECTION('',(0.,0.,1.)); #3984=DIRECTION('center_axis',(0.677762664483565,0.73528074273174,0.)); #3985=DIRECTION('ref_axis',(-0.73528074273174,0.677762664483565,0.)); #3986=DIRECTION('',(0.73528074273174,-0.677762664483565,0.)); #3987=DIRECTION('',(0.73528074273174,-0.677762664483565,0.)); #3988=DIRECTION('',(0.,0.,1.)); #3989=DIRECTION('center_axis',(0.,0.,1.)); #3990=DIRECTION('ref_axis',(0.999491696267356,-0.0318802304352384,0.)); #3991=DIRECTION('center_axis',(0.,0.,-1.)); #3992=DIRECTION('ref_axis',(0.999491696267356,-0.0318802304352384,0.)); #3993=DIRECTION('center_axis',(0.,0.,-1.)); #3994=DIRECTION('ref_axis',(0.999491696267356,-0.0318802304352384,0.)); #3995=DIRECTION('',(0.,0.,1.)); #3996=DIRECTION('center_axis',(0.,0.,1.)); #3997=DIRECTION('ref_axis',(-0.205466431515575,-0.978664163807103,0.)); #3998=DIRECTION('center_axis',(0.,0.,-1.)); #3999=DIRECTION('ref_axis',(-0.205466431515575,-0.978664163807103,0.)); #4000=DIRECTION('center_axis',(0.,0.,-1.)); #4001=DIRECTION('ref_axis',(-0.205466431515575,-0.978664163807103,0.)); #4002=DIRECTION('',(0.,0.,1.)); #4003=DIRECTION('center_axis',(1.,7.89491928622349E-15,0.)); #4004=DIRECTION('ref_axis',(-7.89491928622349E-15,1.,0.)); #4005=DIRECTION('',(7.89491928622349E-15,-1.,0.)); #4006=DIRECTION('',(7.89491928622349E-15,-1.,0.)); #4007=DIRECTION('',(0.,0.,1.)); #4008=DIRECTION('center_axis',(7.09309154621651E-15,-1.,0.)); #4009=DIRECTION('ref_axis',(1.,7.09309154621651E-15,0.)); #4010=DIRECTION('',(-1.,-7.09309154621651E-15,0.)); #4011=DIRECTION('',(-1.,-7.09309154621651E-15,0.)); #4012=DIRECTION('',(0.,0.,1.)); #4013=DIRECTION('center_axis',(-1.,-5.92118946466769E-15,0.)); #4014=DIRECTION('ref_axis',(5.92118946466769E-15,-1.,0.)); #4015=DIRECTION('',(-5.92118946466769E-15,1.,0.)); #4016=DIRECTION('',(-5.92118946466769E-15,1.,0.)); #4017=DIRECTION('',(0.,0.,1.)); #4018=DIRECTION('center_axis',(-6.90805437544566E-15,1.,0.)); #4019=DIRECTION('ref_axis',(-1.,-6.90805437544566E-15,0.)); #4020=DIRECTION('',(1.,6.90805437544566E-15,0.)); #4021=DIRECTION('',(1.,6.90805437544566E-15,0.)); #4022=DIRECTION('',(0.,0.,1.)); #4023=DIRECTION('center_axis',(1.,7.89491928622349E-15,0.)); #4024=DIRECTION('ref_axis',(-7.89491928622349E-15,1.,0.)); #4025=DIRECTION('',(7.89491928622349E-15,-1.,0.)); #4026=DIRECTION('',(7.89491928622349E-15,-1.,0.)); #4027=DIRECTION('center_axis',(-1.,-6.76707367391112E-15,0.)); #4028=DIRECTION('ref_axis',(6.76707367391112E-15,-1.,0.)); #4029=DIRECTION('',(6.76707367391112E-15,-1.,0.)); #4030=DIRECTION('',(0.,0.,1.)); #4031=DIRECTION('',(6.76707367391112E-15,-1.,0.)); #4032=DIRECTION('',(0.,0.,1.)); #4033=DIRECTION('center_axis',(-6.7670736739111E-15,1.,0.)); #4034=DIRECTION('ref_axis',(-1.,-6.7670736739111E-15,0.)); #4035=DIRECTION('',(-1.,-6.7670736739111E-15,0.)); #4036=DIRECTION('',(0.,0.,1.)); #4037=DIRECTION('',(-1.,-6.7670736739111E-15,0.)); #4038=DIRECTION('center_axis',(1.,6.76707367391112E-15,0.)); #4039=DIRECTION('ref_axis',(-6.76707367391112E-15,1.,0.)); #4040=DIRECTION('',(-6.76707367391112E-15,1.,0.)); #4041=DIRECTION('',(0.,0.,1.)); #4042=DIRECTION('',(-6.76707367391112E-15,1.,0.)); #4043=DIRECTION('center_axis',(0.,0.,1.)); #4044=DIRECTION('ref_axis',(0.450678127390156,0.892686521401607,0.)); #4045=DIRECTION('center_axis',(0.,0.,1.)); #4046=DIRECTION('ref_axis',(0.450678127390156,0.892686521401607,0.)); #4047=DIRECTION('',(0.,0.,1.)); #4048=DIRECTION('center_axis',(0.,0.,1.)); #4049=DIRECTION('ref_axis',(0.450678127390156,0.892686521401607,0.)); #4050=DIRECTION('center_axis',(0.,0.,1.)); #4051=DIRECTION('ref_axis',(-0.374211950531283,-0.927343202961866,0.)); #4052=DIRECTION('center_axis',(0.,0.,-1.)); #4053=DIRECTION('ref_axis',(-0.374211950531283,-0.927343202961866,0.)); #4054=DIRECTION('',(0.,0.,1.)); #4055=DIRECTION('center_axis',(0.,0.,-1.)); #4056=DIRECTION('ref_axis',(-0.374211950531283,-0.927343202961866,0.)); #4057=DIRECTION('center_axis',(1.,6.76707367391112E-15,0.)); #4058=DIRECTION('ref_axis',(-6.76707367391112E-15,1.,0.)); #4059=DIRECTION('',(-6.76707367391112E-15,1.,0.)); #4060=DIRECTION('',(0.,0.,1.)); #4061=DIRECTION('',(-6.76707367391112E-15,1.,0.)); #4062=DIRECTION('center_axis',(6.87280920006596E-15,-1.,0.)); #4063=DIRECTION('ref_axis',(1.,6.87280920006596E-15,0.)); #4064=DIRECTION('',(1.,6.87280920006596E-15,0.)); #4065=DIRECTION('',(1.,6.87280920006596E-15,0.)); #4066=DIRECTION('center_axis',(0.,0.,-1.)); #4067=DIRECTION('ref_axis',(-1.,0.,0.)); #4068=DIRECTION('center_axis',(0.,0.,1.)); #4069=DIRECTION('ref_axis',(1.,0.,0.)); #4070=DIRECTION('center_axis',(0.,0.,1.)); #4071=DIRECTION('ref_axis',(-0.761514266479707,0.648148148148148,0.)); #4072=DIRECTION('',(-1.,0.,0.)); #4073=DIRECTION('center_axis',(0.,0.,1.)); #4074=DIRECTION('ref_axis',(0.761514266479707,-0.648148148148148,0.)); #4075=DIRECTION('',(1.,0.,0.)); #4076=DIRECTION('center_axis',(0.,0.,1.)); #4077=DIRECTION('ref_axis',(1.,0.,0.)); #4078=DIRECTION('center_axis',(0.,0.,1.)); #4079=DIRECTION('ref_axis',(1.,0.,0.)); #4080=DIRECTION('center_axis',(0.,0.,1.)); #4081=DIRECTION('ref_axis',(1.,0.,0.)); #4082=DIRECTION('center_axis',(0.,0.,1.)); #4083=DIRECTION('ref_axis',(-1.,0.,0.)); #4084=DIRECTION('center_axis',(0.,0.,1.)); #4085=DIRECTION('ref_axis',(-1.,0.,0.)); #4086=DIRECTION('',(-0.707106781186549,-8.65956056235495E-17,-0.707106781186546)); #4087=DIRECTION('center_axis',(0.,0.,-1.)); #4088=DIRECTION('ref_axis',(-1.,0.,0.)); #4089=DIRECTION('center_axis',(0.,0.,-1.)); #4090=DIRECTION('ref_axis',(-1.,0.,0.)); #4091=DIRECTION('center_axis',(0.,0.,1.)); #4092=DIRECTION('ref_axis',(1.,0.,0.)); #4093=DIRECTION('',(0.,0.,-1.)); #4094=DIRECTION('center_axis',(0.,0.,1.)); #4095=DIRECTION('ref_axis',(1.,0.,0.)); #4096=DIRECTION('',(1.,0.,0.)); #4097=DIRECTION('center_axis',(0.,0.,-1.)); #4098=DIRECTION('ref_axis',(-0.761514266479707,0.648148148148148,0.)); #4099=DIRECTION('',(-1.,0.,0.)); #4100=DIRECTION('center_axis',(0.,0.,-1.)); #4101=DIRECTION('ref_axis',(0.761514266479707,-0.648148148148148,0.)); #4102=DIRECTION('center_axis',(0.,0.,-1.)); #4103=DIRECTION('ref_axis',(1.,0.,0.)); #4104=DIRECTION('center_axis',(0.,0.,-1.)); #4105=DIRECTION('ref_axis',(1.,0.,0.)); #4106=DIRECTION('center_axis',(0.,0.,1.)); #4107=DIRECTION('ref_axis',(1.,0.,0.)); #4108=DIRECTION('',(0.,0.,-1.)); #4109=DIRECTION('center_axis',(0.,0.,1.)); #4110=DIRECTION('ref_axis',(1.,0.,0.)); #4111=DIRECTION('',(0.,0.,-1.)); #4112=DIRECTION('center_axis',(0.,1.,0.)); #4113=DIRECTION('ref_axis',(0.,0.,1.)); #4114=DIRECTION('',(0.,0.,1.)); #4115=DIRECTION('',(0.,0.,1.)); #4116=DIRECTION('center_axis',(0.,0.,1.)); #4117=DIRECTION('ref_axis',(0.761514266479707,-0.648148148148148,0.)); #4118=DIRECTION('',(0.,0.,1.)); #4119=DIRECTION('center_axis',(0.,-1.,0.)); #4120=DIRECTION('ref_axis',(0.,0.,-1.)); #4121=DIRECTION('',(0.,0.,1.)); #4122=DIRECTION('center_axis',(0.,0.,1.)); #4123=DIRECTION('ref_axis',(-0.761514266479707,0.648148148148148,0.)); #4124=DIRECTION('center_axis',(0.,0.,1.)); #4125=DIRECTION('ref_axis',(1.,0.,0.)); #4126=DIRECTION('axis',(0.,0.,1.)); #4127=DIRECTION('refdir',(1.,0.,0.)); #4128=DIRECTION('center_axis',(1.,-4.44089209850063E-15,0.)); #4129=DIRECTION('ref_axis',(0.,0.,-1.)); #4130=DIRECTION('',(-4.44089209850063E-15,-1.,2.74264798062715E-15)); #4131=DIRECTION('',(0.,0.,1.)); #4132=DIRECTION('',(4.44089209850063E-15,1.,0.)); #4133=DIRECTION('',(7.66115226590412E-29,1.72513812449772E-14,-1.)); #4134=DIRECTION('',(4.44089209850063E-15,1.,0.)); #4135=DIRECTION('',(0.,0.,1.)); #4136=DIRECTION('center_axis',(0.,1.,1.72513812449772E-14)); #4137=DIRECTION('ref_axis',(0.,-1.72513812449772E-14,1.)); #4138=DIRECTION('',(-1.,0.,0.)); #4139=DIRECTION('',(8.29958162139613E-29,-1.72513812449772E-14,1.)); #4140=DIRECTION('',(-1.,0.,0.)); #4141=DIRECTION('center_axis',(0.,-0.928476690885258,-0.371390676354107)); #4142=DIRECTION('ref_axis',(0.,0.371390676354107,-0.928476690885258)); #4143=DIRECTION('',(0.,0.371390676354107,-0.928476690885258)); #4144=DIRECTION('',(-1.,0.,0.)); #4145=DIRECTION('',(0.,-0.371390676354107,0.928476690885258)); #4146=DIRECTION('',(-1.,0.,0.)); #4147=DIRECTION('center_axis',(-1.,0.,0.)); #4148=DIRECTION('ref_axis',(0.,-0.92847669088526,-0.371390676354102)); #4149=DIRECTION('center_axis',(1.,0.,0.)); #4150=DIRECTION('ref_axis',(0.,-0.92847669088526,-0.371390676354102)); #4151=DIRECTION('center_axis',(-1.,0.,0.)); #4152=DIRECTION('ref_axis',(0.,-0.92847669088526,-0.371390676354102)); #4153=DIRECTION('',(-1.,0.,0.)); #4154=DIRECTION('center_axis',(0.,-2.74264798062715E-15,-1.)); #4155=DIRECTION('ref_axis',(-1.,0.,0.)); #4156=DIRECTION('',(0.,1.,-2.74264798062715E-15)); #4157=DIRECTION('',(0.,-1.,2.74264798062715E-15)); #4158=DIRECTION('',(1.,1.77635683940025E-14,-4.87192149845432E-29)); #4159=DIRECTION('center_axis',(0.,2.74264798062715E-15,1.)); #4160=DIRECTION('ref_axis',(1.,0.,0.)); #4161=DIRECTION('',(1.,0.,0.)); #4162=DIRECTION('',(-4.81096644004235E-15,1.,-2.74264798062715E-15)); #4163=DIRECTION('center_axis',(0.,2.74264798062715E-15,1.)); #4164=DIRECTION('ref_axis',(0.,1.,0.)); #4165=DIRECTION('',(1.,0.,0.)); #4166=DIRECTION('center_axis',(0.,2.74264798062715E-15,1.)); #4167=DIRECTION('ref_axis',(-1.,0.,0.)); #4168=DIRECTION('center_axis',(0.,0.,1.)); #4169=DIRECTION('ref_axis',(1.,0.,0.)); #4170=DIRECTION('center_axis',(0.,0.,-1.)); #4171=DIRECTION('ref_axis',(1.,0.,0.)); #4172=DIRECTION('',(-1.,-1.77635683940025E-14,0.)); #4173=DIRECTION('',(0.,1.,0.)); #4174=DIRECTION('',(-1.,0.,0.)); #4175=DIRECTION('',(0.,-1.,0.)); #4176=DIRECTION('',(-1.,0.,0.)); #4177=DIRECTION('center_axis',(0.,0.,-1.)); #4178=DIRECTION('ref_axis',(0.,1.,0.)); #4179=DIRECTION('',(4.81096644004235E-15,-1.,0.)); #4180=DIRECTION('center_axis',(0.,0.,-1.)); #4181=DIRECTION('ref_axis',(-1.,0.,0.)); #4182=DIRECTION('center_axis',(-1.,0.,0.)); #4183=DIRECTION('ref_axis',(0.,0.928476690885262,0.371390676354097)); #4184=DIRECTION('center_axis',(1.,0.,0.)); #4185=DIRECTION('ref_axis',(0.,0.928476690885262,0.371390676354097)); #4186=DIRECTION('',(-1.,0.,0.)); #4187=DIRECTION('center_axis',(-1.,0.,0.)); #4188=DIRECTION('ref_axis',(0.,0.928476690885262,0.371390676354097)); #4189=DIRECTION('center_axis',(0.,0.92847669088526,0.371390676354102)); #4190=DIRECTION('ref_axis',(0.,-0.371390676354102,0.92847669088526)); #4191=DIRECTION('',(0.,0.371390676354102,-0.92847669088526)); #4192=DIRECTION('',(-1.,0.,0.)); #4193=DIRECTION('',(0.,-0.371390676354102,0.92847669088526)); #4194=DIRECTION('center_axis',(-1.,0.,0.)); #4195=DIRECTION('ref_axis',(0.,0.928476690885261,0.371390676354101)); #4196=DIRECTION('center_axis',(-1.,0.,0.)); #4197=DIRECTION('ref_axis',(0.,0.928476690885261,0.371390676354101)); #4198=DIRECTION('',(-1.,0.,0.)); #4199=DIRECTION('center_axis',(1.,0.,0.)); #4200=DIRECTION('ref_axis',(0.,0.928476690885261,0.371390676354101)); #4201=DIRECTION('center_axis',(0.,0.,1.)); #4202=DIRECTION('ref_axis',(1.,0.,0.)); #4203=DIRECTION('',(0.,1.,0.)); #4204=DIRECTION('center_axis',(0.,0.,-1.)); #4205=DIRECTION('ref_axis',(1.,0.,0.)); #4206=DIRECTION('',(0.,1.,0.)); #4207=DIRECTION('center_axis',(0.,0.,1.)); #4208=DIRECTION('ref_axis',(0.,-1.,0.)); #4209=DIRECTION('',(1.,0.,0.)); #4210=DIRECTION('center_axis',(0.,0.,1.)); #4211=DIRECTION('ref_axis',(-1.,0.,0.)); #4212=DIRECTION('',(0.,-1.,0.)); #4213=DIRECTION('center_axis',(0.,0.,-1.)); #4214=DIRECTION('ref_axis',(0.,1.,0.)); #4215=DIRECTION('',(0.,-1.,0.)); #4216=DIRECTION('center_axis',(0.,0.,-1.)); #4217=DIRECTION('ref_axis',(-1.,0.,0.)); #4218=DIRECTION('center_axis',(0.,0.,-1.)); #4219=DIRECTION('ref_axis',(-1.,0.,0.)); #4220=DIRECTION('center_axis',(0.,0.,-1.)); #4221=DIRECTION('ref_axis',(-1.,0.,0.)); #4222=DIRECTION('center_axis',(0.,0.,-1.)); #4223=DIRECTION('ref_axis',(-1.,0.,0.)); #4224=DIRECTION('center_axis',(0.,0.,-1.)); #4225=DIRECTION('ref_axis',(-1.,0.,0.)); #4226=DIRECTION('center_axis',(-1.,0.,0.)); #4227=DIRECTION('ref_axis',(0.,-0.928476690885259,-0.371390676354104)); #4228=DIRECTION('center_axis',(-1.,0.,0.)); #4229=DIRECTION('ref_axis',(0.,-0.928476690885259,-0.371390676354104)); #4230=DIRECTION('',(-1.,0.,0.)); #4231=DIRECTION('center_axis',(1.,0.,0.)); #4232=DIRECTION('ref_axis',(0.,-0.928476690885259,-0.371390676354104)); #4233=DIRECTION('center_axis',(0.,0.,1.)); #4234=DIRECTION('ref_axis',(1.,0.,0.)); #4235=DIRECTION('',(4.81096644004235E-15,-1.,0.)); #4236=DIRECTION('',(-1.,0.,0.)); #4237=DIRECTION('center_axis',(0.,0.,1.)); #4238=DIRECTION('ref_axis',(0.,1.,0.)); #4239=DIRECTION('',(0.,0.,1.)); #4240=DIRECTION('center_axis',(0.,0.,1.)); #4241=DIRECTION('ref_axis',(0.,1.,0.)); #4242=DIRECTION('',(0.,0.,1.)); #4243=DIRECTION('center_axis',(-1.,0.,0.)); #4244=DIRECTION('ref_axis',(0.,0.,1.)); #4245=DIRECTION('',(0.,0.,1.)); #4246=DIRECTION('',(0.,1.,0.)); #4247=DIRECTION('center_axis',(0.,0.,1.)); #4248=DIRECTION('ref_axis',(-1.,0.,0.)); #4249=DIRECTION('',(0.,0.,1.)); #4250=DIRECTION('center_axis',(0.,0.,-1.)); #4251=DIRECTION('ref_axis',(-1.,0.,0.)); #4252=DIRECTION('center_axis',(-1.,0.,0.)); #4253=DIRECTION('ref_axis',(0.,0.,1.)); #4254=DIRECTION('',(0.,1.,0.)); #4255=DIRECTION('',(0.,0.,1.)); #4256=DIRECTION('center_axis',(0.,1.,0.)); #4257=DIRECTION('ref_axis',(0.,0.,1.)); #4258=DIRECTION('',(0.,0.,1.)); #4259=DIRECTION('center_axis',(0.,0.,1.)); #4260=DIRECTION('ref_axis',(0.,1.,0.)); #4261=DIRECTION('',(0.,0.,1.)); #4262=DIRECTION('center_axis',(-1.,-4.81096644004235E-15,0.)); #4263=DIRECTION('ref_axis',(0.,0.,1.)); #4264=DIRECTION('',(0.,0.,1.)); #4265=DIRECTION('center_axis',(0.,0.,1.)); #4266=DIRECTION('ref_axis',(-1.,0.,0.)); #4267=DIRECTION('',(0.,0.,1.)); #4268=DIRECTION('center_axis',(0.,0.,1.)); #4269=DIRECTION('ref_axis',(-1.,0.,0.)); #4270=DIRECTION('',(0.,0.,1.)); #4271=DIRECTION('center_axis',(0.,0.,-1.)); #4272=DIRECTION('ref_axis',(-1.,0.,0.)); #4273=DIRECTION('center_axis',(0.,0.,1.)); #4274=DIRECTION('ref_axis',(-1.,0.,0.)); #4275=DIRECTION('',(0.,0.,1.)); #4276=DIRECTION('center_axis',(0.,0.,-1.)); #4277=DIRECTION('ref_axis',(-1.,0.,0.)); #4278=DIRECTION('center_axis',(0.,0.,1.)); #4279=DIRECTION('ref_axis',(-1.,0.,0.)); #4280=DIRECTION('',(0.,0.,1.)); #4281=DIRECTION('center_axis',(0.,0.,-1.)); #4282=DIRECTION('ref_axis',(-1.,0.,0.)); #4283=DIRECTION('center_axis',(0.,0.,1.)); #4284=DIRECTION('ref_axis',(-1.,0.,0.)); #4285=DIRECTION('',(0.,0.,1.)); #4286=DIRECTION('center_axis',(0.,0.,-1.)); #4287=DIRECTION('ref_axis',(-1.,0.,0.)); #4288=DIRECTION('center_axis',(0.,0.,1.)); #4289=DIRECTION('ref_axis',(-1.,0.,0.)); #4290=DIRECTION('',(0.,0.,1.)); #4291=DIRECTION('center_axis',(0.,0.,-1.)); #4292=DIRECTION('ref_axis',(-1.,0.,0.)); #4293=DIRECTION('center_axis',(0.,-1.,0.)); #4294=DIRECTION('ref_axis',(0.,0.,-1.)); #4295=DIRECTION('',(0.,0.,1.)); #4296=DIRECTION('',(-1.,0.,0.)); #4297=DIRECTION('center_axis',(0.,1.,0.)); #4298=DIRECTION('ref_axis',(0.,0.,1.)); #4299=DIRECTION('center_axis',(0.,0.,1.)); #4300=DIRECTION('ref_axis',(1.,0.,0.)); #4301=DIRECTION('',(0.,0.,1.)); #4302=DIRECTION('center_axis',(-1.77635683940025E-14,1.,0.)); #4303=DIRECTION('ref_axis',(0.,0.,1.)); #4304=DIRECTION('',(0.,0.,1.)); #4305=DIRECTION('center_axis',(1.,0.,0.)); #4306=DIRECTION('ref_axis',(0.,0.,-1.)); #4307=DIRECTION('',(0.,-1.,0.)); #4308=DIRECTION('',(0.,0.,1.)); #4309=DIRECTION('center_axis',(0.,0.,1.)); #4310=DIRECTION('ref_axis',(1.,0.,0.)); #4311=DIRECTION('center_axis',(0.,0.,1.)); #4312=DIRECTION('ref_axis',(1.,0.,0.)); #4313=DIRECTION('',(0.,0.,1.)); #4314=DIRECTION('center_axis',(1.,0.,0.)); #4315=DIRECTION('ref_axis',(0.,0.,-1.)); #4316=DIRECTION('',(0.,-1.,0.)); #4317=DIRECTION('',(0.,0.,1.)); #4318=DIRECTION('center_axis',(0.,0.,1.)); #4319=DIRECTION('ref_axis',(0.,-1.,0.)); #4320=DIRECTION('center_axis',(0.,0.,-1.)); #4321=DIRECTION('ref_axis',(0.,-1.,0.)); #4322=DIRECTION('center_axis',(0.,0.,1.)); #4323=DIRECTION('ref_axis',(1.,0.,0.)); #4324=DIRECTION('axis',(0.,0.,1.)); #4325=DIRECTION('refdir',(1.,0.,0.)); #4326=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4327=DIRECTION('ref_axis',(1.,7.40477784320913E-17,0.)); #4328=DIRECTION('center_axis',(1.60436853269531E-16,-1.,0.)); #4329=DIRECTION('ref_axis',(1.,0.,0.)); #4330=DIRECTION('center_axis',(1.60436853269531E-16,-1.,0.)); #4331=DIRECTION('ref_axis',(1.,0.,0.)); #4332=DIRECTION('',(0.707106781186549,-0.707106781186546,-8.65956056235495E-17)); #4333=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4334=DIRECTION('ref_axis',(1.,1.60436853269531E-16,0.)); #4335=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4336=DIRECTION('ref_axis',(-1.,0.,0.)); #4337=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4338=DIRECTION('ref_axis',(1.,0.,0.)); #4339=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4340=DIRECTION('ref_axis',(1.,0.,0.)); #4341=DIRECTION('',(1.60436853269531E-16,-1.,0.)); #4342=DIRECTION('center_axis',(1.60436853269531E-16,-1.,0.)); #4343=DIRECTION('ref_axis',(0.,0.,-1.)); #4344=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4345=DIRECTION('ref_axis',(-1.,0.,0.)); #4346=DIRECTION('center_axis',(1.60436853269532E-16,-1.,0.)); #4347=DIRECTION('ref_axis',(1.,7.40477784320914E-17,0.)); #4348=DIRECTION('center_axis',(1.60436853269531E-16,-1.,0.)); #4349=DIRECTION('ref_axis',(1.,1.60436853269531E-16,0.)); #4350=DIRECTION('',(-0.707106781186545,-0.70710678118655,8.6595605623549E-17)); #4351=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4352=DIRECTION('ref_axis',(0.,0.,1.)); #4353=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4354=DIRECTION('ref_axis',(-1.,0.,0.)); #4355=DIRECTION('center_axis',(1.60436853269531E-16,-1.,0.)); #4356=DIRECTION('ref_axis',(0.,0.,-1.)); #4357=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4358=DIRECTION('ref_axis',(-1.,0.,0.)); #4359=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4360=DIRECTION('ref_axis',(-1.,0.,0.)); #4361=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4362=DIRECTION('ref_axis',(-1.,0.,0.)); #4363=DIRECTION('',(1.60436853269531E-16,-1.,0.)); #4364=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4365=DIRECTION('ref_axis',(0.,0.,1.)); #4366=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4367=DIRECTION('ref_axis',(-1.,0.,0.)); #4368=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4369=DIRECTION('ref_axis',(-1.,0.,0.)); #4370=DIRECTION('',(1.60436853269531E-16,-1.,0.)); #4371=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4372=DIRECTION('ref_axis',(-1.,0.,0.)); #4373=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4374=DIRECTION('ref_axis',(-1.,0.,0.)); #4375=DIRECTION('',(1.60436853269531E-16,-1.,0.)); #4376=DIRECTION('center_axis',(1.60436853269531E-16,-1.,0.)); #4377=DIRECTION('ref_axis',(0.,0.,-1.)); #4378=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4379=DIRECTION('ref_axis',(-1.,0.,0.)); #4380=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4381=DIRECTION('ref_axis',(-1.,0.,0.)); #4382=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4383=DIRECTION('ref_axis',(-1.,0.,0.)); #4384=DIRECTION('',(1.60436853269531E-16,-1.,0.)); #4385=DIRECTION('center_axis',(-1.60436853269531E-16,1.,0.)); #4386=DIRECTION('ref_axis',(0.,0.,1.)); #4387=DIRECTION('axis',(0.,0.,1.)); #4388=DIRECTION('refdir',(1.,0.,0.)); #4389=DIRECTION('axis',(0.,0.,1.)); #4390=DIRECTION('refdir',(1.,0.,0.)); #4391=DIRECTION('center_axis',(0.,0.,1.)); #4392=DIRECTION('ref_axis',(-1.,-1.11484267853567E-59,0.)); #4393=DIRECTION('center_axis',(1.65827276695608E-31,-6.7229149555538E-29, -1.)); #4394=DIRECTION('ref_axis',(-1.,-1.11484267853567E-59,-1.65827276695608E-31)); #4395=DIRECTION('',(0.,0.,-1.)); #4396=DIRECTION('center_axis',(1.65827276695608E-31,-6.7229149555538E-29, -1.)); #4397=DIRECTION('ref_axis',(-1.,-1.11484267853567E-59,-1.65827276695608E-31)); #4398=DIRECTION('center_axis',(1.65827276695608E-31,-6.7229149555538E-29, -1.)); #4399=DIRECTION('ref_axis',(-1.,0.,-1.65827276695608E-31)); #4400=DIRECTION('center_axis',(1.65827276695608E-31,-6.7229149555538E-29, -1.)); #4401=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4402=DIRECTION('center_axis',(1.65827276695608E-31,-6.7229149555538E-29, -1.)); #4403=DIRECTION('ref_axis',(-1.,0.,-1.65827276695608E-31)); #4404=DIRECTION('center_axis',(0.,0.,1.)); #4405=DIRECTION('ref_axis',(-0.411075362932674,0.911601363530008,0.)); #4406=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4407=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4408=DIRECTION('center_axis',(0.,0.,1.)); #4409=DIRECTION('ref_axis',(0.553294742646516,-0.832985550750867,0.)); #4410=DIRECTION('',(-0.342020143325661,-0.939692620785911,0.)); #4411=DIRECTION('center_axis',(0.,0.,-1.)); #4412=DIRECTION('ref_axis',(0.439307062260035,0.898336966315234,0.)); #4413=DIRECTION('',(0.342020143325648,0.939692620785916,0.)); #4414=DIRECTION('center_axis',(0.,0.,1.)); #4415=DIRECTION('ref_axis',(-0.900868058928135,0.434093008931328,0.)); #4416=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4417=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4418=DIRECTION('center_axis',(0.,0.,1.)); #4419=DIRECTION('ref_axis',(0.960784313725494,-0.277296776935887,0.)); #4420=DIRECTION('',(0.342020143325696,-0.939692620785898,0.)); #4421=DIRECTION('center_axis',(0.,0.,-1.)); #4422=DIRECTION('ref_axis',(-0.241598398005077,0.970376326010368,0.)); #4423=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); #4424=DIRECTION('center_axis',(0.,0.,1.)); #4425=DIRECTION('ref_axis',(-0.969134578117885,-0.246532288952723,0.)); #4426=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4427=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4428=DIRECTION('center_axis',(0.,0.,1.)); #4429=DIRECTION('ref_axis',(0.914246416985695,0.405158597377396,0.)); #4430=DIRECTION('',(0.866025403784412,-0.500000000000046,0.)); #4431=DIRECTION('center_axis',(0.,0.,-1.)); #4432=DIRECTION('ref_axis',(-0.808820989350845,0.588054935516675,0.)); #4433=DIRECTION('',(-0.866025403784411,0.500000000000048,0.)); #4434=DIRECTION('center_axis',(0.,0.,1.)); #4435=DIRECTION('ref_axis',(-0.583932257475176,-0.811802388934613,0.)); #4436=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4437=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4438=DIRECTION('center_axis',(0.,0.,1.)); #4439=DIRECTION('ref_axis',(0.439922461021176,0.898035761141544,0.)); #4440=DIRECTION('',(0.984807753012211,0.173648177666915,0.)); #4441=DIRECTION('center_axis',(0.,0.,-1.)); #4442=DIRECTION('ref_axis',(-0.997587250735344,-0.0694238948078936,0.)); #4443=DIRECTION('',(-0.984807753012212,-0.173648177666908,0.)); #4444=DIRECTION('center_axis',(0.,0.,1.)); #4445=DIRECTION('ref_axis',(0.0744984561243119,-0.997221128955406,0.)); #4446=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4447=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4448=DIRECTION('center_axis',(0.,0.,1.)); #4449=DIRECTION('ref_axis',(-0.240246103648723,0.970712011711819,0.)); #4450=DIRECTION('',(0.642787609686514,0.766044443118999,0.)); #4451=DIRECTION('center_axis',(0.,0.,-1.)); #4452=DIRECTION('ref_axis',(-0.719571350553452,-0.694418513191203,0.)); #4453=DIRECTION('',(-0.642787609686539,-0.766044443118978,0.)); #4454=DIRECTION('center_axis',(0.,0.,1.)); #4455=DIRECTION('ref_axis',(0.698070514145131,-0.716029019859638,0.)); #4456=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4457=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4458=DIRECTION('center_axis',(0.,0.,1.)); #4459=DIRECTION('ref_axis',(-0.80800084638334,0.58918132373982,0.)); #4460=DIRECTION('',(0.,1.,0.)); #4461=DIRECTION('center_axis',(0.,0.,-1.)); #4462=DIRECTION('ref_axis',(-0.104860018302835,-0.994486991650232,0.)); #4463=DIRECTION('',(-3.74670957028191E-14,-1.,0.)); #4464=DIRECTION('center_axis',(0.,0.,1.)); #4465=DIRECTION('ref_axis',(0.995007620407857,-0.0997989745954022,0.)); #4466=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4467=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4468=DIRECTION('center_axis',(0.,0.,1.)); #4469=DIRECTION('ref_axis',(-0.997683013166058,-0.0680338536310822,0.)); #4470=DIRECTION('',(-0.64278760968655,0.766044443118969,0.)); #4471=DIRECTION('center_axis',(0.,0.,-1.)); #4472=DIRECTION('ref_axis',(0.55891648190097,-0.829223954224335,0.)); #4473=DIRECTION('',(0.642787609686535,-0.766044443118981,0.)); #4474=DIRECTION('center_axis',(0.,0.,1.)); #4475=DIRECTION('ref_axis',(0.826369602803821,0.563128120024081,0.)); #4476=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4477=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4478=DIRECTION('center_axis',(0.,0.,1.)); #4479=DIRECTION('ref_axis',(-0.720538210076777,-0.693415234775927,0.)); #4480=DIRECTION('',(-0.984807753012204,0.173648177666951,0.)); #4481=DIRECTION('center_axis',(0.,0.,-1.)); #4482=DIRECTION('ref_axis',(0.961169748558528,-0.275957812819164,0.)); #4483=DIRECTION('',(0.9848077530122,-0.173648177666976,0.)); #4484=DIRECTION('center_axis',(0.,0.,1.)); #4485=DIRECTION('ref_axis',(0.271064063972751,0.962561308812367,0.)); #4486=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4487=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4488=DIRECTION('center_axis',(-1.65827276695608E-31,6.7229149555538E-29, 1.)); #4489=DIRECTION('ref_axis',(-1.,-1.11484267853566E-59,0.)); #4490=DIRECTION('center_axis',(0.,0.,1.)); #4491=DIRECTION('ref_axis',(-0.106245570602382,-0.994339921117207,0.)); #4492=DIRECTION('',(-0.866025403784485,-0.499999999999919,0.)); #4493=DIRECTION('center_axis',(0.,0.,-1.)); #4494=DIRECTION('ref_axis',(0.913681007653682,0.406432056133559,0.)); #4495=DIRECTION('',(0.866025403784467,0.499999999999951,0.)); #4496=DIRECTION('center_axis',(0.,0.,1.)); #4497=DIRECTION('ref_axis',(-1.,0.,0.)); #4498=DIRECTION('',(0.,0.,1.)); #4499=DIRECTION('',(0.,0.,1.)); #4500=DIRECTION('',(0.,0.,1.)); #4501=DIRECTION('center_axis',(0.,0.,1.)); #4502=DIRECTION('ref_axis',(-1.,0.,0.)); #4503=DIRECTION('',(0.,0.,1.)); #4504=DIRECTION('',(0.,0.,1.)); #4505=DIRECTION('center_axis',(0.,0.,1.)); #4506=DIRECTION('ref_axis',(-1.,0.,0.)); #4507=DIRECTION('',(0.,0.,1.)); #4508=DIRECTION('',(0.,0.,1.)); #4509=DIRECTION('center_axis',(0.,0.,1.)); #4510=DIRECTION('ref_axis',(-1.,0.,0.)); #4511=DIRECTION('',(0.,0.,1.)); #4512=DIRECTION('',(0.,0.,1.)); #4513=DIRECTION('center_axis',(0.,0.,1.)); #4514=DIRECTION('ref_axis',(-1.,0.,0.)); #4515=DIRECTION('',(0.,0.,1.)); #4516=DIRECTION('',(0.,0.,1.)); #4517=DIRECTION('center_axis',(0.,0.,1.)); #4518=DIRECTION('ref_axis',(-1.,0.,0.)); #4519=DIRECTION('',(0.,0.,1.)); #4520=DIRECTION('',(0.,0.,1.)); #4521=DIRECTION('center_axis',(0.,0.,1.)); #4522=DIRECTION('ref_axis',(-1.,0.,0.)); #4523=DIRECTION('',(0.,0.,1.)); #4524=DIRECTION('',(0.,0.,1.)); #4525=DIRECTION('center_axis',(0.,0.,1.)); #4526=DIRECTION('ref_axis',(-1.,0.,0.)); #4527=DIRECTION('',(0.,0.,1.)); #4528=DIRECTION('',(0.,0.,1.)); #4529=DIRECTION('center_axis',(0.,0.,1.)); #4530=DIRECTION('ref_axis',(-1.,0.,0.)); #4531=DIRECTION('',(0.,0.,1.)); #4532=DIRECTION('',(0.,0.,1.)); #4533=DIRECTION('center_axis',(0.,0.,1.)); #4534=DIRECTION('ref_axis',(-1.,0.,0.)); #4535=DIRECTION('center_axis',(0.,0.,1.)); #4536=DIRECTION('ref_axis',(-1.,0.,0.)); #4537=DIRECTION('center_axis',(0.,0.,1.)); #4538=DIRECTION('ref_axis',(-1.,0.,0.)); #4539=DIRECTION('',(0.,0.,1.)); #4540=DIRECTION('center_axis',(0.,0.,-1.)); #4541=DIRECTION('ref_axis',(-1.,0.,0.)); #4542=DIRECTION('center_axis',(0.,0.,1.)); #4543=DIRECTION('ref_axis',(1.,0.,0.)); #4544=DIRECTION('',(0.,0.,1.)); #4545=DIRECTION('center_axis',(0.,0.,-1.)); #4546=DIRECTION('ref_axis',(1.,0.,0.)); #4547=DIRECTION('',(0.,0.,1.)); #4548=DIRECTION('center_axis',(0.,0.,1.)); #4549=DIRECTION('ref_axis',(1.,0.,0.)); #4550=DIRECTION('center_axis',(0.,0.,1.)); #4551=DIRECTION('ref_axis',(1.,0.,0.)); #4552=DIRECTION('',(0.,0.,1.)); #4553=DIRECTION('center_axis',(0.,0.,1.)); #4554=DIRECTION('ref_axis',(1.,0.,0.)); #4555=DIRECTION('',(0.,0.,1.)); #4556=DIRECTION('center_axis',(0.,0.,-1.)); #4557=DIRECTION('ref_axis',(1.,0.,0.)); #4558=DIRECTION('center_axis',(0.,0.,1.)); #4559=DIRECTION('ref_axis',(1.,0.,0.)); #4560=DIRECTION('',(0.,0.,1.)); #4561=DIRECTION('center_axis',(0.,0.,-1.)); #4562=DIRECTION('ref_axis',(1.,0.,0.)); #4563=DIRECTION('',(0.,0.,1.)); #4564=DIRECTION('center_axis',(0.,0.,1.)); #4565=DIRECTION('ref_axis',(1.,0.,0.)); #4566=DIRECTION('center_axis',(0.,0.,1.)); #4567=DIRECTION('ref_axis',(1.,0.,0.)); #4568=DIRECTION('',(0.,0.,1.)); #4569=DIRECTION('center_axis',(0.,0.,-1.)); #4570=DIRECTION('ref_axis',(1.,0.,0.)); #4571=DIRECTION('',(0.,0.,1.)); #4572=DIRECTION('center_axis',(0.,0.,1.)); #4573=DIRECTION('ref_axis',(1.,0.,0.)); #4574=DIRECTION('center_axis',(0.,0.,1.)); #4575=DIRECTION('ref_axis',(-0.984807753012207,0.173648177666933,0.)); #4576=DIRECTION('',(0.,0.,1.)); #4577=DIRECTION('center_axis',(0.,0.,1.)); #4578=DIRECTION('ref_axis',(1.,0.,0.)); #4579=DIRECTION('',(0.,0.,1.)); #4580=DIRECTION('center_axis',(0.,0.,-1.)); #4581=DIRECTION('ref_axis',(1.,0.,0.)); #4582=DIRECTION('center_axis',(0.,0.,1.)); #4583=DIRECTION('ref_axis',(1.,0.,0.)); #4584=DIRECTION('',(0.,0.,1.)); #4585=DIRECTION('center_axis',(0.,0.,-1.)); #4586=DIRECTION('ref_axis',(1.,0.,0.)); #4587=DIRECTION('',(0.,0.,1.)); #4588=DIRECTION('center_axis',(0.,0.,1.)); #4589=DIRECTION('ref_axis',(1.,0.,0.)); #4590=DIRECTION('center_axis',(0.,0.,1.)); #4591=DIRECTION('ref_axis',(1.,0.,0.)); #4592=DIRECTION('',(0.,0.,1.)); #4593=DIRECTION('center_axis',(0.,0.,-1.)); #4594=DIRECTION('ref_axis',(1.,0.,0.)); #4595=DIRECTION('',(0.,0.,1.)); #4596=DIRECTION('center_axis',(0.,0.,1.)); #4597=DIRECTION('ref_axis',(1.,0.,0.)); #4598=DIRECTION('center_axis',(0.,0.,1.)); #4599=DIRECTION('ref_axis',(1.,0.,0.)); #4600=DIRECTION('',(0.,0.,1.)); #4601=DIRECTION('center_axis',(0.,0.,-1.)); #4602=DIRECTION('ref_axis',(1.,0.,0.)); #4603=DIRECTION('',(0.,0.,1.)); #4604=DIRECTION('center_axis',(0.,0.,1.)); #4605=DIRECTION('ref_axis',(1.,0.,0.)); #4606=DIRECTION('center_axis',(0.,0.,1.)); #4607=DIRECTION('ref_axis',(1.,0.,0.)); #4608=DIRECTION('',(0.,0.,1.)); #4609=DIRECTION('center_axis',(0.,0.,1.)); #4610=DIRECTION('ref_axis',(1.,0.,0.)); #4611=DIRECTION('',(0.,0.,1.)); #4612=DIRECTION('center_axis',(0.,0.,-1.)); #4613=DIRECTION('ref_axis',(1.,0.,0.)); #4614=DIRECTION('center_axis',(0.939692620785906,-0.342020143325675,0.)); #4615=DIRECTION('ref_axis',(0.,0.,-1.)); #4616=DIRECTION('',(0.,0.,1.)); #4617=DIRECTION('',(0.342020143325675,0.939692620785906,0.)); #4618=DIRECTION('',(0.,0.,1.)); #4619=DIRECTION('center_axis',(0.,0.,1.)); #4620=DIRECTION('ref_axis',(0.553294742646516,-0.832985550750867,0.)); #4621=DIRECTION('center_axis',(0.,0.,-1.)); #4622=DIRECTION('ref_axis',(0.553294742646516,-0.832985550750867,0.)); #4623=DIRECTION('center_axis',(0.,0.,1.)); #4624=DIRECTION('ref_axis',(-0.900868058928135,0.434093008931328,0.)); #4625=DIRECTION('',(0.,0.,1.)); #4626=DIRECTION('center_axis',(0.,0.,-1.)); #4627=DIRECTION('ref_axis',(-0.900868058928135,0.434093008931328,0.)); #4628=DIRECTION('center_axis',(-0.939692620785916,0.342020143325648,0.)); #4629=DIRECTION('ref_axis',(0.,0.,1.)); #4630=DIRECTION('',(0.,0.,1.)); #4631=DIRECTION('',(-0.342020143325648,-0.939692620785916,0.)); #4632=DIRECTION('center_axis',(0.,0.,1.)); #4633=DIRECTION('ref_axis',(0.439307062260035,0.898336966315234,0.)); #4634=DIRECTION('center_axis',(0.,0.,1.)); #4635=DIRECTION('ref_axis',(0.439307062260035,0.898336966315234,0.)); #4636=DIRECTION('center_axis',(0.,0.,1.)); #4637=DIRECTION('ref_axis',(0.960784313725494,-0.277296776935887,0.)); #4638=DIRECTION('center_axis',(0.,0.,-1.)); #4639=DIRECTION('ref_axis',(0.960784313725494,-0.277296776935887,0.)); #4640=DIRECTION('',(0.,0.,1.)); #4641=DIRECTION('center_axis',(0.,0.,1.)); #4642=DIRECTION('ref_axis',(-0.969134578117885,-0.246532288952723,0.)); #4643=DIRECTION('',(0.,0.,1.)); #4644=DIRECTION('center_axis',(0.,0.,-1.)); #4645=DIRECTION('ref_axis',(-0.969134578117885,-0.246532288952723,0.)); #4646=DIRECTION('center_axis',(-0.939692620785909,-0.342020143325667,0.)); #4647=DIRECTION('ref_axis',(0.,0.,1.)); #4648=DIRECTION('',(0.,0.,1.)); #4649=DIRECTION('',(0.342020143325667,-0.939692620785909,0.)); #4650=DIRECTION('center_axis',(0.,0.,1.)); #4651=DIRECTION('ref_axis',(-0.241598398005077,0.970376326010368,0.)); #4652=DIRECTION('',(0.,0.,1.)); #4653=DIRECTION('center_axis',(0.,0.,1.)); #4654=DIRECTION('ref_axis',(-0.241598398005077,0.970376326010368,0.)); #4655=DIRECTION('center_axis',(0.939692620785898,0.342020143325696,0.)); #4656=DIRECTION('ref_axis',(0.,0.,-1.)); #4657=DIRECTION('',(-0.342020143325696,0.939692620785898,0.)); #4658=DIRECTION('center_axis',(0.,0.,1.)); #4659=DIRECTION('ref_axis',(-0.240246103648723,0.970712011711819,0.)); #4660=DIRECTION('center_axis',(0.,0.,-1.)); #4661=DIRECTION('ref_axis',(-0.240246103648723,0.970712011711819,0.)); #4662=DIRECTION('',(0.,0.,1.)); #4663=DIRECTION('center_axis',(0.,0.,1.)); #4664=DIRECTION('ref_axis',(0.698070514145131,-0.716029019859638,0.)); #4665=DIRECTION('',(0.,0.,1.)); #4666=DIRECTION('center_axis',(0.,0.,-1.)); #4667=DIRECTION('ref_axis',(0.698070514145131,-0.716029019859638,0.)); #4668=DIRECTION('center_axis',(0.766044443118993,-0.642787609686521,0.)); #4669=DIRECTION('ref_axis',(0.,0.,-1.)); #4670=DIRECTION('',(0.,0.,1.)); #4671=DIRECTION('',(0.642787609686521,0.766044443118993,0.)); #4672=DIRECTION('center_axis',(0.,0.,1.)); #4673=DIRECTION('ref_axis',(-0.719571350553452,-0.694418513191203,0.)); #4674=DIRECTION('',(0.,0.,1.)); #4675=DIRECTION('center_axis',(0.,0.,1.)); #4676=DIRECTION('ref_axis',(-0.719571350553452,-0.694418513191203,0.)); #4677=DIRECTION('center_axis',(-0.766044443118999,0.642787609686514,0.)); #4678=DIRECTION('ref_axis',(0.,0.,1.)); #4679=DIRECTION('',(-0.642787609686514,-0.766044443118999,0.)); #4680=DIRECTION('center_axis',(0.,0.,1.)); #4681=DIRECTION('ref_axis',(-0.80800084638334,0.58918132373982,0.)); #4682=DIRECTION('center_axis',(0.,0.,-1.)); #4683=DIRECTION('ref_axis',(-0.80800084638334,0.58918132373982,0.)); #4684=DIRECTION('',(0.,0.,1.)); #4685=DIRECTION('center_axis',(0.,0.,1.)); #4686=DIRECTION('ref_axis',(0.995007620407857,-0.0997989745954022,0.)); #4687=DIRECTION('',(0.,0.,1.)); #4688=DIRECTION('center_axis',(0.,0.,-1.)); #4689=DIRECTION('ref_axis',(0.995007620407857,-0.0997989745954022,0.)); #4690=DIRECTION('center_axis',(1.,1.85448492407083E-14,0.)); #4691=DIRECTION('ref_axis',(0.,0.,-1.)); #4692=DIRECTION('',(0.,0.,1.)); #4693=DIRECTION('',(-1.85448492407083E-14,1.,0.)); #4694=DIRECTION('center_axis',(0.,0.,1.)); #4695=DIRECTION('ref_axis',(-0.104860018302835,-0.994486991650232,0.)); #4696=DIRECTION('',(0.,0.,1.)); #4697=DIRECTION('center_axis',(0.,0.,1.)); #4698=DIRECTION('ref_axis',(-0.104860018302835,-0.994486991650232,0.)); #4699=DIRECTION('center_axis',(-1.,0.,0.)); #4700=DIRECTION('ref_axis',(0.,0.,1.)); #4701=DIRECTION('',(0.,-1.,0.)); #4702=DIRECTION('center_axis',(0.,0.,1.)); #4703=DIRECTION('ref_axis',(-0.997683013166058,-0.0680338536310822,0.)); #4704=DIRECTION('center_axis',(0.,0.,-1.)); #4705=DIRECTION('ref_axis',(-0.997683013166058,-0.0680338536310822,0.)); #4706=DIRECTION('',(0.,0.,1.)); #4707=DIRECTION('center_axis',(0.,0.,1.)); #4708=DIRECTION('ref_axis',(0.826369602803821,0.563128120024081,0.)); #4709=DIRECTION('',(0.,0.,1.)); #4710=DIRECTION('center_axis',(0.,0.,-1.)); #4711=DIRECTION('ref_axis',(0.826369602803821,0.563128120024081,0.)); #4712=DIRECTION('center_axis',(0.766044443118987,0.642787609686529,0.)); #4713=DIRECTION('ref_axis',(0.,0.,-1.)); #4714=DIRECTION('',(0.,0.,1.)); #4715=DIRECTION('',(-0.642787609686529,0.766044443118987,0.)); #4716=DIRECTION('center_axis',(0.,0.,1.)); #4717=DIRECTION('ref_axis',(0.55891648190097,-0.829223954224335,0.)); #4718=DIRECTION('',(0.,0.,1.)); #4719=DIRECTION('center_axis',(0.,0.,1.)); #4720=DIRECTION('ref_axis',(0.55891648190097,-0.829223954224335,0.)); #4721=DIRECTION('center_axis',(-0.766044443118969,-0.64278760968655,0.)); #4722=DIRECTION('ref_axis',(0.,0.,1.)); #4723=DIRECTION('',(0.64278760968655,-0.766044443118969,0.)); #4724=DIRECTION('center_axis',(0.,0.,1.)); #4725=DIRECTION('ref_axis',(-0.997587250735344,-0.0694238948078936,0.)); #4726=DIRECTION('',(0.,0.,1.)); #4727=DIRECTION('center_axis',(0.,0.,1.)); #4728=DIRECTION('ref_axis',(-0.997587250735344,-0.0694238948078936,0.)); #4729=DIRECTION('',(0.,0.,1.)); #4730=DIRECTION('center_axis',(-0.173648177666915,0.984807753012211,0.)); #4731=DIRECTION('ref_axis',(0.,0.,1.)); #4732=DIRECTION('',(0.,0.,1.)); #4733=DIRECTION('',(-0.984807753012211,-0.173648177666915,0.)); #4734=DIRECTION('center_axis',(0.,0.,1.)); #4735=DIRECTION('ref_axis',(0.439922461021176,0.898035761141544,0.)); #4736=DIRECTION('center_axis',(0.,0.,-1.)); #4737=DIRECTION('ref_axis',(0.439922461021176,0.898035761141544,0.)); #4738=DIRECTION('center_axis',(0.,0.,1.)); #4739=DIRECTION('ref_axis',(0.0744984561243119,-0.997221128955406,0.)); #4740=DIRECTION('',(0.,0.,1.)); #4741=DIRECTION('center_axis',(0.,0.,-1.)); #4742=DIRECTION('ref_axis',(0.0744984561243119,-0.997221128955406,0.)); #4743=DIRECTION('center_axis',(0.173648177666975,-0.9848077530122,0.)); #4744=DIRECTION('ref_axis',(0.,0.,-1.)); #4745=DIRECTION('',(0.9848077530122,0.173648177666974,0.)); #4746=DIRECTION('center_axis',(0.,0.,1.)); #4747=DIRECTION('ref_axis',(-0.720538210076777,-0.693415234775927,0.)); #4748=DIRECTION('center_axis',(0.,0.,-1.)); #4749=DIRECTION('ref_axis',(-0.720538210076777,-0.693415234775927,0.)); #4750=DIRECTION('',(0.,0.,1.)); #4751=DIRECTION('center_axis',(0.,0.,1.)); #4752=DIRECTION('ref_axis',(0.271064063972751,0.962561308812367,0.)); #4753=DIRECTION('',(0.,0.,1.)); #4754=DIRECTION('center_axis',(0.,0.,-1.)); #4755=DIRECTION('ref_axis',(0.271064063972751,0.962561308812367,0.)); #4756=DIRECTION('center_axis',(0.173648177667014,0.984807753012193,0.)); #4757=DIRECTION('ref_axis',(0.,0.,1.)); #4758=DIRECTION('',(0.,0.,1.)); #4759=DIRECTION('',(-0.984807753012193,0.173648177667014,0.)); #4760=DIRECTION('center_axis',(0.,0.,1.)); #4761=DIRECTION('ref_axis',(0.961169748558528,-0.275957812819164,0.)); #4762=DIRECTION('',(0.,0.,1.)); #4763=DIRECTION('center_axis',(0.,0.,1.)); #4764=DIRECTION('ref_axis',(0.961169748558528,-0.275957812819164,0.)); #4765=DIRECTION('center_axis',(-0.173648177666951,-0.984807753012204,0.)); #4766=DIRECTION('ref_axis',(0.,0.,-1.)); #4767=DIRECTION('',(0.984807753012204,-0.173648177666951,0.)); #4768=DIRECTION('center_axis',(0.,0.,1.)); #4769=DIRECTION('ref_axis',(-0.808820989350845,0.588054935516675,0.)); #4770=DIRECTION('',(0.,0.,1.)); #4771=DIRECTION('center_axis',(0.,0.,1.)); #4772=DIRECTION('ref_axis',(-0.808820989350845,0.588054935516675,0.)); #4773=DIRECTION('',(0.,0.,1.)); #4774=DIRECTION('center_axis',(0.500000000000047,0.866025403784412,0.)); #4775=DIRECTION('ref_axis',(0.,0.,-1.)); #4776=DIRECTION('',(0.,0.,1.)); #4777=DIRECTION('',(-0.866025403784412,0.500000000000046,0.)); #4778=DIRECTION('center_axis',(0.,0.,1.)); #4779=DIRECTION('ref_axis',(0.914246416985695,0.405158597377396,0.)); #4780=DIRECTION('center_axis',(0.,0.,-1.)); #4781=DIRECTION('ref_axis',(0.914246416985695,0.405158597377396,0.)); #4782=DIRECTION('center_axis',(0.,0.,1.)); #4783=DIRECTION('ref_axis',(-0.583932257475176,-0.811802388934613,0.)); #4784=DIRECTION('',(0.,0.,1.)); #4785=DIRECTION('center_axis',(0.,0.,-1.)); #4786=DIRECTION('ref_axis',(-0.583932257475176,-0.811802388934613,0.)); #4787=DIRECTION('center_axis',(-0.500000000000004,-0.866025403784436,0.)); #4788=DIRECTION('ref_axis',(0.,0.,1.)); #4789=DIRECTION('',(0.866025403784436,-0.500000000000004,0.)); #4790=DIRECTION('center_axis',(0.,0.,1.)); #4791=DIRECTION('ref_axis',(-0.411075362932674,0.911601363530008,0.)); #4792=DIRECTION('',(0.,0.,1.)); #4793=DIRECTION('center_axis',(0.,0.,-1.)); #4794=DIRECTION('ref_axis',(-0.411075362932674,0.911601363530008,0.)); #4795=DIRECTION('center_axis',(-0.499999999999968,0.866025403784457,0.)); #4796=DIRECTION('ref_axis',(0.,0.,1.)); #4797=DIRECTION('',(0.,0.,1.)); #4798=DIRECTION('',(-0.866025403784457,-0.499999999999968,0.)); #4799=DIRECTION('center_axis',(0.,0.,1.)); #4800=DIRECTION('ref_axis',(0.913681007653682,0.406432056133559,0.)); #4801=DIRECTION('',(0.,0.,1.)); #4802=DIRECTION('center_axis',(0.,0.,1.)); #4803=DIRECTION('ref_axis',(0.913681007653682,0.406432056133559,0.)); #4804=DIRECTION('center_axis',(0.49999999999992,-0.866025403784485,0.)); #4805=DIRECTION('ref_axis',(0.,0.,-1.)); #4806=DIRECTION('',(0.,0.,1.)); #4807=DIRECTION('',(0.866025403784485,0.499999999999919,0.)); #4808=DIRECTION('center_axis',(0.,0.,1.)); #4809=DIRECTION('ref_axis',(-0.106245570602382,-0.994339921117207,0.)); #4810=DIRECTION('center_axis',(0.,0.,-1.)); #4811=DIRECTION('ref_axis',(-0.106245570602382,-0.994339921117207,0.)); #4812=DIRECTION('center_axis',(0.,0.,1.)); #4813=DIRECTION('ref_axis',(1.,0.,0.)); #4814=DIRECTION('center_axis',(0.,0.,1.)); #4815=DIRECTION('ref_axis',(1.,0.,0.)); #4816=DIRECTION('axis',(0.,0.,1.)); #4817=DIRECTION('refdir',(1.,0.,0.)); #4818=DIRECTION('center_axis',(0.,-1.,0.)); #4819=DIRECTION('ref_axis',(0.,0.,-1.)); #4820=DIRECTION('center_axis',(0.,1.,0.)); #4821=DIRECTION('ref_axis',(-1.,0.,0.)); #4822=DIRECTION('center_axis',(0.,1.,0.)); #4823=DIRECTION('ref_axis',(-1.,0.,0.)); #4824=DIRECTION('center_axis',(0.,1.,0.)); #4825=DIRECTION('ref_axis',(-1.,0.,0.)); #4826=DIRECTION('',(0.,-1.,0.)); #4827=DIRECTION('center_axis',(0.,1.,0.)); #4828=DIRECTION('ref_axis',(0.,0.,1.)); #4829=DIRECTION('',(0.,0.,1.)); #4830=DIRECTION('',(1.,0.,0.)); #4831=DIRECTION('',(-0.815568946527941,-0.578659911743768,3.86983724207911E-29)); #4832=DIRECTION('',(-0.578659911743768,0.815568946527941,-5.49750612462277E-29)); #4833=DIRECTION('',(-0.252806637976928,-0.967516823520295,3.86983724207911E-29)); #4834=DIRECTION('',(-0.967516823520295,0.252806637976928,-5.49750612462277E-29)); #4835=DIRECTION('',(0.428246706116307,-0.903661860819927,3.86983724207911E-29)); #4836=DIRECTION('',(-0.903661860819927,-0.428246706116307,-5.49750612462277E-29)); #4837=DIRECTION('',(0.908918656985734,-0.416973470359026,3.86983724207911E-29)); #4838=DIRECTION('',(-0.416973470359026,-0.908918656985734,-5.49750612462277E-29)); #4839=DIRECTION('',(0.964297466745865,0.264821441026792,3.86983724207911E-29)); #4840=DIRECTION('',(0.264821441026792,-0.964297466745865,-5.49750612462277E-29)); #4841=DIRECTION('',(0.568470774843021,0.822703456993694,3.86983724207911E-29)); #4842=DIRECTION('',(0.822703456993694,-0.568470774843021,-5.49750612462277E-29)); #4843=DIRECTION('',(-0.0933497104577931,0.995633382102793,3.86983724207911E-29)); #4844=DIRECTION('',(0.995633382102793,0.0933497104577931,-5.49750612462277E-29)); #4845=DIRECTION('',(-0.711490828768937,0.702695382493503,3.86983724207911E-29)); #4846=DIRECTION('',(0.702695382493503,0.711490828768937,-5.49750612462277E-29)); #4847=DIRECTION('',(-0.996717480959328,0.0809584038262332,3.86983724207911E-29)); #4848=DIRECTION('',(0.0809584038262332,0.996717480959328,-5.49750612462277E-29)); #4849=DIRECTION('axis',(0.,0.,1.)); #4850=DIRECTION('refdir',(1.,0.,0.)); #4851=DIRECTION('center_axis',(1.,0.,0.)); #4852=DIRECTION('ref_axis',(0.,-1.,0.)); #4853=DIRECTION('center_axis',(1.,0.,0.)); #4854=DIRECTION('ref_axis',(0.,-1.,0.)); #4855=DIRECTION('',(1.,0.,0.)); #4856=DIRECTION('center_axis',(-1.,0.,0.)); #4857=DIRECTION('ref_axis',(0.,-1.,0.)); #4858=DIRECTION('center_axis',(1.,0.,0.)); #4859=DIRECTION('ref_axis',(0.,0.,-1.)); #4860=DIRECTION('center_axis',(-1.,0.,0.)); #4861=DIRECTION('ref_axis',(0.,-1.,0.)); #4862=DIRECTION('center_axis',(1.,0.,0.)); #4863=DIRECTION('ref_axis',(0.,-1.,0.)); #4864=DIRECTION('center_axis',(1.,0.,0.)); #4865=DIRECTION('ref_axis',(0.,-1.,0.)); #4866=DIRECTION('',(-1.,0.,0.)); #4867=DIRECTION('center_axis',(1.,0.,0.)); #4868=DIRECTION('ref_axis',(0.,0.,-1.)); #4869=DIRECTION('axis',(0.,0.,1.)); #4870=DIRECTION('refdir',(1.,0.,0.)); #4871=DIRECTION('center_axis',(1.,0.,0.)); #4872=DIRECTION('ref_axis',(0.,0.,-1.)); #4873=DIRECTION('center_axis',(1.,0.,0.)); #4874=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4875=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #4876=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #4877=DIRECTION('center_axis',(-1.,0.,0.)); #4878=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4879=DIRECTION('center_axis',(-1.,0.,0.)); #4880=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4881=DIRECTION('center_axis',(1.,0.,0.)); #4882=DIRECTION('ref_axis',(0.,0.,-1.)); #4883=DIRECTION('center_axis',(-1.,0.,0.)); #4884=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4885=DIRECTION('center_axis',(1.,0.,0.)); #4886=DIRECTION('ref_axis',(0.,1.,0.)); #4887=DIRECTION('center_axis',(1.,0.,0.)); #4888=DIRECTION('ref_axis',(0.,0.,-1.)); #4889=DIRECTION('',(-1.,0.,0.)); #4890=DIRECTION('center_axis',(1.,0.,0.)); #4891=DIRECTION('ref_axis',(0.,0.,-1.)); #4892=DIRECTION('center_axis',(1.,0.,0.)); #4893=DIRECTION('ref_axis',(0.,0.,-1.)); #4894=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #4895=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #4896=DIRECTION('center_axis',(1.,0.,0.)); #4897=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4898=DIRECTION('center_axis',(1.,0.,0.)); #4899=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4900=DIRECTION('center_axis',(1.,0.,0.)); #4901=DIRECTION('ref_axis',(0.,1.,0.)); #4902=DIRECTION('',(-1.,0.,0.)); #4903=DIRECTION('center_axis',(-1.,0.,0.)); #4904=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4905=DIRECTION('center_axis',(-1.,0.,0.)); #4906=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4907=DIRECTION('center_axis',(1.,0.,0.)); #4908=DIRECTION('ref_axis',(0.,0.,-1.)); #4909=DIRECTION('center_axis',(1.,0.,0.)); #4910=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4911=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #4912=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #4913=DIRECTION('center_axis',(-1.,0.,0.)); #4914=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4915=DIRECTION('center_axis',(1.,0.,0.)); #4916=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4917=DIRECTION('center_axis',(-1.,0.,0.)); #4918=DIRECTION('ref_axis',(0.,0.,1.)); #4919=DIRECTION('center_axis',(1.,0.,0.)); #4920=DIRECTION('ref_axis',(0.,-1.,1.83697019872103E-16)); #4921=DIRECTION('center_axis',(1.,0.,0.)); #4922=DIRECTION('ref_axis',(0.,1.,0.)); #4923=DIRECTION('',(-1.,0.,0.)); #4924=DIRECTION('center_axis',(1.,0.,0.)); #4925=DIRECTION('ref_axis',(0.,0.,-1.)); #4926=DIRECTION('center_axis',(1.,0.,0.)); #4927=DIRECTION('ref_axis',(0.,0.,-1.)); #4928=DIRECTION('center_axis',(1.,0.,0.)); #4929=DIRECTION('ref_axis',(0.,0.,-1.)); #4930=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #4931=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #4932=DIRECTION('center_axis',(-1.,0.,0.)); #4933=DIRECTION('ref_axis',(0.,-1.,0.)); #4934=DIRECTION('center_axis',(-1.,0.,0.)); #4935=DIRECTION('ref_axis',(0.,-1.,0.)); #4936=DIRECTION('',(0.707106781186549,-0.707106781186546,8.65956056235491E-17)); #4937=DIRECTION('center_axis',(1.,0.,0.)); #4938=DIRECTION('ref_axis',(0.,-1.,0.)); #4939=DIRECTION('center_axis',(1.,0.,0.)); #4940=DIRECTION('ref_axis',(0.,-1.,0.)); #4941=DIRECTION('center_axis',(1.,0.,0.)); #4942=DIRECTION('ref_axis',(0.,1.,0.)); #4943=DIRECTION('center_axis',(-1.,0.,0.)); #4944=DIRECTION('ref_axis',(0.,-1.,0.)); #4945=DIRECTION('center_axis',(-1.,0.,0.)); #4946=DIRECTION('ref_axis',(0.,-1.,0.)); #4947=DIRECTION('',(-1.,0.,0.)); #4948=DIRECTION('center_axis',(-1.,0.,0.)); #4949=DIRECTION('ref_axis',(0.,0.,1.)); #4950=DIRECTION('center_axis',(1.,0.,0.)); #4951=DIRECTION('ref_axis',(0.,0.,-1.)); #4952=DIRECTION('center_axis',(1.,0.,0.)); #4953=DIRECTION('ref_axis',(0.,-1.,0.)); #4954=DIRECTION('',(0.707106781186547,0.707106781186547,-8.65956056235493E-17)); #4955=DIRECTION('center_axis',(1.,0.,0.)); #4956=DIRECTION('ref_axis',(0.,-1.,0.)); #4957=DIRECTION('center_axis',(1.,0.,0.)); #4958=DIRECTION('ref_axis',(0.,0.,-1.)); #4959=DIRECTION('center_axis',(1.,0.,0.)); #4960=DIRECTION('ref_axis',(0.,0.,-1.)); #4961=DIRECTION('center_axis',(1.,0.,0.)); #4962=DIRECTION('ref_axis',(0.,1.,0.)); #4963=DIRECTION('',(-1.,0.,0.)); #4964=DIRECTION('center_axis',(1.,0.,0.)); #4965=DIRECTION('ref_axis',(0.,0.,-1.)); #4966=DIRECTION('center_axis',(1.,0.,0.)); #4967=DIRECTION('ref_axis',(0.,1.,0.)); #4968=DIRECTION('center_axis',(1.,0.,0.)); #4969=DIRECTION('ref_axis',(0.,0.,-1.)); #4970=DIRECTION('',(-1.,0.,0.)); #4971=DIRECTION('center_axis',(-1.,0.,0.)); #4972=DIRECTION('ref_axis',(0.,0.,1.)); #4973=DIRECTION('center_axis',(1.,0.,0.)); #4974=DIRECTION('ref_axis',(0.,0.,-1.)); #4975=DIRECTION('center_axis',(1.,0.,0.)); #4976=DIRECTION('ref_axis',(0.,1.,0.)); #4977=DIRECTION('center_axis',(1.,0.,0.)); #4978=DIRECTION('ref_axis',(0.,0.,-1.)); #4979=DIRECTION('',(-1.,0.,0.)); #4980=DIRECTION('center_axis',(1.,0.,0.)); #4981=DIRECTION('ref_axis',(0.,0.,-1.)); #4982=DIRECTION('center_axis',(1.,0.,0.)); #4983=DIRECTION('ref_axis',(0.,0.,-1.)); #4984=DIRECTION('center_axis',(1.,0.,0.)); #4985=DIRECTION('ref_axis',(0.,0.,-1.)); #4986=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #4987=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #4988=DIRECTION('center_axis',(1.,0.,0.)); #4989=DIRECTION('ref_axis',(0.,0.,-1.)); #4990=DIRECTION('center_axis',(1.,0.,0.)); #4991=DIRECTION('ref_axis',(0.,0.,-1.)); #4992=DIRECTION('center_axis',(1.,0.,0.)); #4993=DIRECTION('ref_axis',(0.,0.,-1.)); #4994=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #4995=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #4996=DIRECTION('center_axis',(1.,0.,0.)); #4997=DIRECTION('ref_axis',(0.,0.,-1.)); #4998=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #4999=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #5000=DIRECTION('center_axis',(1.,0.,0.)); #5001=DIRECTION('ref_axis',(0.,0.,-1.)); #5002=DIRECTION('center_axis',(-1.,0.,0.)); #5003=DIRECTION('ref_axis',(0.,0.,1.)); #5004=DIRECTION('center_axis',(1.,0.,0.)); #5005=DIRECTION('ref_axis',(0.,0.,-1.)); #5006=DIRECTION('center_axis',(1.,0.,0.)); #5007=DIRECTION('ref_axis',(0.,0.,-1.)); #5008=DIRECTION('center_axis',(1.,0.,0.)); #5009=DIRECTION('ref_axis',(0.,0.,-1.)); #5010=DIRECTION('center_axis',(1.,0.,0.)); #5011=DIRECTION('ref_axis',(0.,0.,-1.)); #5012=DIRECTION('center_axis',(0.,-1.,-1.22464679914735E-16)); #5013=DIRECTION('ref_axis',(0.,-1.22464679914735E-16,1.)); #5014=DIRECTION('center_axis',(1.,0.,0.)); #5015=DIRECTION('ref_axis',(0.,1.,0.)); #5016=DIRECTION('center_axis',(1.,0.,0.)); #5017=DIRECTION('ref_axis',(0.,0.,-1.)); #5018=DIRECTION('',(-1.,0.,0.)); #5019=DIRECTION('center_axis',(1.,0.,0.)); #5020=DIRECTION('ref_axis',(0.,0.,-1.)); #5021=DIRECTION('',(-6.84227765783602E-49,-1.,6.16297582203915E-33)); #5022=DIRECTION('',(1.,-7.69756236506552E-49,-1.38777878078145E-17)); #5023=DIRECTION('',(-1.09286379257103E-49,1.,-1.02413054532379E-34)); #5024=DIRECTION('',(-1.,-1.10075971834631E-49,-7.70988211545248E-18)); #5025=DIRECTION('',(9.02221884641318E-16,-1.,-1.20333816927752E-15)); #5026=DIRECTION('',(1.,9.02221884641323E-16,-4.21113761146023E-15)); #5027=DIRECTION('',(-0.629564821994859,-5.38945604061921E-17,0.776947961517747)); #5028=DIRECTION('',(-0.776947961517747,1.47137820611369E-16,-0.629564821994859)); #5029=DIRECTION('',(0.98192250553827,1.84889274661175E-32,0.189283367249865)); #5030=DIRECTION('',(0.189283367249865,-1.60436853269531E-16,-0.98192250553827)); #5031=DIRECTION('',(-5.86236261846867E-16,9.53247356076747E-32,1.)); #5032=DIRECTION('',(1.,-1.60436853269531E-16,5.86236261846867E-16)); #5033=DIRECTION('',(6.63621936832425E-29,1.,3.87264292478974E-29)); #5034=DIRECTION('',(0.939692620785908,-4.91148448214508E-29,-0.342020143325671)); #5035=DIRECTION('',(4.89961775966474E-17,-1.,-3.40802906834135E-17)); #5036=DIRECTION('',(-0.939692620785908,-3.43852006301637E-17,-0.342020143325671)); #5037=DIRECTION('',(1.20507167319935E-15,-1.20333816927752E-15,1.)); #5038=DIRECTION('',(9.02221884641318E-16,-1.,-1.20333816927752E-15)); #5039=DIRECTION('',(1.53757630742782E-15,1.53930981134965E-15,1.)); #5040=DIRECTION('',(-9.0222188464133E-16,1.,-1.53930981134965E-15)); #5041=DIRECTION('',(1.52655665885959E-16,-2.75092956129361E-18,-1.)); #5042=DIRECTION('',(-5.54429463283507E-17,-1.,2.7509295612936E-18)); #5043=DIRECTION('',(-1.11022302462516E-16,1.72506395509265E-31,1.)); #5044=DIRECTION('',(1.26212676136549E-32,1.,-1.72506395509265E-31)); #5045=CARTESIAN_POINT('',(0.,0.,0.)); #5046=CARTESIAN_POINT('',(0.,0.,0.)); #5047=CARTESIAN_POINT('Origin',(-41.85761011053,1.62011692129675,0.)); #5048=CARTESIAN_POINT('',(-41.16161011053,1.62011692129675,0.)); #5049=CARTESIAN_POINT('Origin',(-41.85761011053,1.62011692129675,0.)); #5050=CARTESIAN_POINT('',(-41.16161011053,1.62011692129675,0.1)); #5051=CARTESIAN_POINT('',(-41.16161011053,1.62011692129675,0.)); #5052=CARTESIAN_POINT('Origin',(-41.85761011053,1.62011692129675,0.1)); #5053=CARTESIAN_POINT('Origin',(-41.85761011053,1.62011692129675,0.1)); #5054=CARTESIAN_POINT('Origin',(-42.8319428551902,-9.64824450025926,0.)); #5055=CARTESIAN_POINT('',(-38.2411101105301,-0.554893250001043,0.)); #5056=CARTESIAN_POINT('',(-39.5303197666735,-0.0116441022251954,0.)); #5057=CARTESIAN_POINT('Origin',(-42.8319428551902,-9.64824450025926,0.)); #5058=CARTESIAN_POINT('',(-38.2411101105301,-0.554893250001043,0.1)); #5059=CARTESIAN_POINT('',(-38.2411101105301,-0.554893250001043,0.)); #5060=CARTESIAN_POINT('',(-39.5303197666735,-0.0116441022251954,0.1)); #5061=CARTESIAN_POINT('Origin',(-42.8319428551902,-9.64824450025926,0.1)); #5062=CARTESIAN_POINT('',(-39.5303197666735,-0.0116441022251954,0.)); #5063=CARTESIAN_POINT('Origin',(-40.1745033320224,-1.89185254389711,0.)); #5064=CARTESIAN_POINT('',(-40.8803859796884,-0.0339273737882727,0.)); #5065=CARTESIAN_POINT('Origin',(-40.1745033320224,-1.89185254389711,0.)); #5066=CARTESIAN_POINT('',(-40.8803859796884,-0.0339273737882727,0.1)); #5067=CARTESIAN_POINT('Origin',(-40.1745033320224,-1.89185254389711,0.1)); #5068=CARTESIAN_POINT('',(-40.8803859796884,-0.0339273737882727,0.)); #5069=CARTESIAN_POINT('Origin',(-40.3420505717892,-1.45085822993544,0.)); #5070=CARTESIAN_POINT('',(-41.8578005717892,-1.45085822993544,0.)); #5071=CARTESIAN_POINT('Origin',(-40.3420505717892,-1.45085822993544,0.)); #5072=CARTESIAN_POINT('',(-41.8578005717892,-1.45085822993544,0.1)); #5073=CARTESIAN_POINT('Origin',(-40.3420505717892,-1.45085822993544,0.1)); #5074=CARTESIAN_POINT('',(-41.8578005717892,-1.45085822993544,0.)); #5075=CARTESIAN_POINT('Origin',(-41.4693920764363,-1.80888307870324,0.)); #5076=CARTESIAN_POINT('',(-41.4693920764363,-1.80888307870324,0.)); #5077=CARTESIAN_POINT('',(-38.3301526120731,-4.70255236740795,0.)); #5078=CARTESIAN_POINT('',(-41.4693920764363,-1.80888307870324,0.1)); #5079=CARTESIAN_POINT('',(-42.428748677358,-0.924573116205324,0.1)); #5080=CARTESIAN_POINT('',(-41.4693920764363,-1.80888307870324,0.)); #5081=CARTESIAN_POINT('Origin',(-39.8757025667381,-1.85971610613222,0.)); #5082=CARTESIAN_POINT('',(-39.5480863416865,-0.299236096941835,0.)); #5083=CARTESIAN_POINT('Origin',(-39.8757025667381,-1.85971610613222,0.)); #5084=CARTESIAN_POINT('',(-39.5480863416865,-0.299236096941835,0.1)); #5085=CARTESIAN_POINT('Origin',(-39.8757025667381,-1.85971610613222,0.1)); #5086=CARTESIAN_POINT('',(-39.5480863416865,-0.299236096941835,0.)); #5087=CARTESIAN_POINT('Origin',(-41.1394752203825,-7.87923471166893,0.)); #5088=CARTESIAN_POINT('',(-38.2411101105301,-0.696729768928411,0.)); #5089=CARTESIAN_POINT('Origin',(-41.1394752203825,-7.87923471166893,0.)); #5090=CARTESIAN_POINT('',(-38.2411101105301,-0.696729768928411,0.1)); #5091=CARTESIAN_POINT('Origin',(-41.1394752203825,-7.87923471166893,0.1)); #5092=CARTESIAN_POINT('',(-38.2411101105301,-0.696729768928411,0.)); #5093=CARTESIAN_POINT('Origin',(-38.2411101105301,-1.99638307870319,0.)); #5094=CARTESIAN_POINT('',(-38.2411101105301,-1.99638307870319,0.)); #5095=CARTESIAN_POINT('',(-38.2411101105301,4.46590048533037,0.)); #5096=CARTESIAN_POINT('',(-38.2411101105301,-1.99638307870319,0.1)); #5097=CARTESIAN_POINT('',(-38.2411101105301,-0.188133078703205,0.1)); #5098=CARTESIAN_POINT('',(-38.2411101105301,-1.99638307870319,0.)); #5099=CARTESIAN_POINT('Origin',(-42.7411101105299,-1.99638307870322,0.)); #5100=CARTESIAN_POINT('',(-42.7411101105299,-1.99638307870322,0.)); #5101=CARTESIAN_POINT('',(-30.4283539432452,-1.99638307870313,0.)); #5102=CARTESIAN_POINT('',(-42.7411101105299,-1.99638307870322,0.1)); #5103=CARTESIAN_POINT('',(-42.29936011053,-1.99638307870322,0.1)); #5104=CARTESIAN_POINT('',(-42.7411101105299,-1.99638307870322,0.)); #5105=CARTESIAN_POINT('Origin',(-42.7411101105299,2.50361692129664,0.)); #5106=CARTESIAN_POINT('',(-42.7411101105299,2.50361692129664,0.)); #5107=CARTESIAN_POINT('',(-42.74111011053,6.71590048533028,0.)); #5108=CARTESIAN_POINT('',(-42.7411101105299,2.50361692129664,0.1)); #5109=CARTESIAN_POINT('',(-42.7411101105299,2.06186692129669,0.1)); #5110=CARTESIAN_POINT('',(-42.7411101105299,2.50361692129664,0.)); #5111=CARTESIAN_POINT('Origin',(-38.2411101105301,2.50361692129667,0.)); #5112=CARTESIAN_POINT('',(-38.2411101105301,2.50361692129667,0.)); #5113=CARTESIAN_POINT('',(-28.1783539432453,2.50361692129674,0.)); #5114=CARTESIAN_POINT('',(-38.2411101105301,2.50361692129667,0.1)); #5115=CARTESIAN_POINT('',(-40.0493601105301,2.50361692129666,0.1)); #5116=CARTESIAN_POINT('',(-38.2411101105301,2.50361692129667,0.)); #5117=CARTESIAN_POINT('Origin',(-38.2411101105301,-0.554893250001043,0.)); #5118=CARTESIAN_POINT('',(-38.2411101105301,5.18664539968144,0.)); #5119=CARTESIAN_POINT('',(-38.2411101105301,0.532611835647867,0.1)); #5120=CARTESIAN_POINT('Origin',(-43.1161101105279,2.87861692129461,0.)); #5121=CARTESIAN_POINT('',(-43.1161101105279,2.87861692129461,0.)); #5122=CARTESIAN_POINT('',(-43.1161101105279,-2.3713830787012,0.)); #5123=CARTESIAN_POINT('',(-43.116110110528,6.90340048532926,0.)); #5124=CARTESIAN_POINT('',(-43.1161101105279,2.87861692129461,0.1)); #5125=CARTESIAN_POINT('',(-43.1161101105279,2.87861692129461,0.)); #5126=CARTESIAN_POINT('',(-43.1161101105279,-2.3713830787012,0.1)); #5127=CARTESIAN_POINT('',(-43.1161101105279,-2.3713830787012,0.1)); #5128=CARTESIAN_POINT('',(-43.1161101105279,-2.3713830787012,0.)); #5129=CARTESIAN_POINT('Origin',(-37.8661101105321,2.87861692129465,0.)); #5130=CARTESIAN_POINT('',(-37.8661101105321,2.87861692129465,0.)); #5131=CARTESIAN_POINT('',(-27.9908539432463,2.87861692129471,0.)); #5132=CARTESIAN_POINT('',(-37.8661101105321,2.87861692129465,0.1)); #5133=CARTESIAN_POINT('',(-37.8661101105321,2.87861692129465,0.)); #5134=CARTESIAN_POINT('',(-43.1161101105279,2.87861692129461,0.1)); #5135=CARTESIAN_POINT('Origin',(-37.8661101105321,-0.754129175950858,0.)); #5136=CARTESIAN_POINT('',(-37.8661101105321,-0.754129175950858,0.)); #5137=CARTESIAN_POINT('',(-37.8661101105321,5.08702743670654,0.)); #5138=CARTESIAN_POINT('',(-37.8661101105321,-0.754129175950858,0.1)); #5139=CARTESIAN_POINT('',(-37.8661101105321,-0.754129175950858,0.)); #5140=CARTESIAN_POINT('',(-37.8661101105321,2.87861692129465,0.1)); #5141=CARTESIAN_POINT('Origin',(-42.8319428551902,-9.64824450025926,0.)); #5142=CARTESIAN_POINT('',(-36.2896204999491,-1.8403766446838,0.)); #5143=CARTESIAN_POINT('Origin',(-42.8319428551902,-9.64824450025926,0.)); #5144=CARTESIAN_POINT('',(-36.2896204999491,-1.8403766446838,0.1)); #5145=CARTESIAN_POINT('',(-36.2896204999491,-1.8403766446838,0.)); #5146=CARTESIAN_POINT('Origin',(-42.8319428551902,-9.64824450025926,0.1)); #5147=CARTESIAN_POINT('Origin',(-41.1394752203825,-7.87923471166893,0.)); #5148=CARTESIAN_POINT('',(-37.8661101105321,-0.859692104054376,0.)); #5149=CARTESIAN_POINT('Origin',(-41.1394752203825,-7.87923471166893,0.)); #5150=CARTESIAN_POINT('',(-37.8661101105321,-0.859692104054376,0.1)); #5151=CARTESIAN_POINT('',(-37.8661101105321,-0.859692104054376,0.)); #5152=CARTESIAN_POINT('Origin',(-41.1394752203825,-7.87923471166893,0.1)); #5153=CARTESIAN_POINT('Origin',(-37.8661101105321,-2.37138307870116,0.)); #5154=CARTESIAN_POINT('',(-37.8661101105321,-2.37138307870116,0.)); #5155=CARTESIAN_POINT('',(-37.8661101105321,4.27840048533139,0.)); #5156=CARTESIAN_POINT('',(-37.8661101105321,-2.37138307870116,0.1)); #5157=CARTESIAN_POINT('',(-37.8661101105321,-2.37138307870116,0.)); #5158=CARTESIAN_POINT('',(-37.8661101105321,2.87861692129465,0.1)); #5159=CARTESIAN_POINT('Origin',(-43.1161101105279,-2.3713830787012,0.)); #5160=CARTESIAN_POINT('',(-30.6158539432442,-2.37138307870111,0.)); #5161=CARTESIAN_POINT('',(-37.8661101105321,-2.37138307870116,0.1)); #5162=CARTESIAN_POINT('Origin',(-41.85761011053,1.62011692129675,0.1)); #5163=CARTESIAN_POINT('Origin',(-18.1155977759605,10.9281840493641,0.)); #5164=CARTESIAN_POINT('',(-62.6764829709126,28.4281840493641,0.)); #5165=CARTESIAN_POINT('',(-62.6764829709126,-6.57181595063592,0.)); #5166=CARTESIAN_POINT('Origin',(-42.1155977759605,10.9281840493641,0.)); #5167=CARTESIAN_POINT('',(26.4452874189916,28.4281840493641,0.)); #5168=CARTESIAN_POINT('',(26.4452874189916,28.4281840493641,0.)); #5169=CARTESIAN_POINT('',(26.4452874189916,-6.57181595063592,0.)); #5170=CARTESIAN_POINT('Origin',(5.88440222403949,10.9281840493641,0.)); #5171=CARTESIAN_POINT('',(-62.6764829709126,-6.57181595063592,0.)); #5172=CARTESIAN_POINT('',(-22.0655977759605,7.92818404936408,0.)); #5173=CARTESIAN_POINT('Origin',(-18.1155977759605,7.92818404936408,0.)); #5174=CARTESIAN_POINT('',(-58.0655977759605,10.9281840493641,0.)); #5175=CARTESIAN_POINT('Origin',(-54.1155977759605,10.9281840493641,0.)); #5176=CARTESIAN_POINT('',(13.9344022240395,10.9281840493641,0.)); #5177=CARTESIAN_POINT('Origin',(17.8844022240395,10.9281840493641,0.)); #5178=CARTESIAN_POINT('Origin',(-18.1155977759605,7.92818404936408,2.)); #5179=CARTESIAN_POINT('',(-13.1655977759605,7.92818404936408,2.5)); #5180=CARTESIAN_POINT('Origin',(-18.1155977759605,7.92818404936408,2.5)); #5181=CARTESIAN_POINT('',(-14.1655977759605,7.92818404936408,1.5)); #5182=CARTESIAN_POINT('',(-13.6655977759605,7.92818404936408,2.)); #5183=CARTESIAN_POINT('',(-22.0655977759605,7.92818404936408,1.5)); #5184=CARTESIAN_POINT('Origin',(-18.1155977759605,7.92818404936408,1.5)); #5185=CARTESIAN_POINT('Origin',(-18.1155977759605,7.92818404936408,1.5)); #5186=CARTESIAN_POINT('Origin',(-18.1155977759605,7.92818404936408,-105.366816027915)); #5187=CARTESIAN_POINT('',(-22.0655977759605,7.92818404936408,-105.366816027915)); #5188=CARTESIAN_POINT('Origin',(-18.1155977759605,10.9281840493641,2.5)); #5189=CARTESIAN_POINT('',(-62.6764829709126,28.4281840493641,2.5)); #5190=CARTESIAN_POINT('',(26.4452874189916,28.4281840493641,2.5)); #5191=CARTESIAN_POINT('',(26.4452874189916,28.4281840493641,2.5)); #5192=CARTESIAN_POINT('',(-62.6764829709126,-6.57181595063592,2.5)); #5193=CARTESIAN_POINT('Origin',(-42.1155977759605,10.9281840493641,2.5)); #5194=CARTESIAN_POINT('',(26.4452874189916,-6.57181595063592,2.5)); #5195=CARTESIAN_POINT('',(-62.6764829709126,-6.57181595063592,2.5)); #5196=CARTESIAN_POINT('Origin',(5.88440222403949,10.9281840493641,2.5)); #5197=CARTESIAN_POINT('',(-58.0655977759605,10.9281840493641,2.5)); #5198=CARTESIAN_POINT('Origin',(-54.1155977759605,10.9281840493641,2.5)); #5199=CARTESIAN_POINT('',(13.9344022240395,10.9281840493641,2.5)); #5200=CARTESIAN_POINT('Origin',(17.8844022240395,10.9281840493641,2.5)); #5201=CARTESIAN_POINT('Origin',(17.8844022240395,10.9281840493641,-105.366816027915)); #5202=CARTESIAN_POINT('',(13.9344022240395,10.9281840493641,-105.366816027915)); #5203=CARTESIAN_POINT('Origin',(-54.1155977759605,10.9281840493641,-105.366816027915)); #5204=CARTESIAN_POINT('',(-58.0655977759605,10.9281840493641,-105.366816027915)); #5205=CARTESIAN_POINT('Origin',(-62.6764829709126,28.4281840493641,0.)); #5206=CARTESIAN_POINT('',(26.4452874189916,28.4281840493641,0.)); #5207=CARTESIAN_POINT('',(-62.6764829709126,28.4281840493641,0.)); #5208=CARTESIAN_POINT('Origin',(5.88440222403949,10.9281840493641,0.)); #5209=CARTESIAN_POINT('',(26.4452874189916,-6.57181595063592,0.)); #5210=CARTESIAN_POINT('Origin',(26.4452874189916,-6.57181595063592,0.)); #5211=CARTESIAN_POINT('',(-62.6764829709126,-6.57181595063592,0.)); #5212=CARTESIAN_POINT('Origin',(-42.1155977759605,10.9281840493641,0.)); #5213=CARTESIAN_POINT('Origin',(-18.1155977759605,10.9281840493641,0.)); #5214=CARTESIAN_POINT('',(0.,0.,0.)); #5215=CARTESIAN_POINT('Origin',(60.8674214612156,289.385126460498,0.)); #5216=CARTESIAN_POINT('',(60.8674214612156,289.385126460498,4.01190414712085)); #5217=CARTESIAN_POINT('',(60.8674214612155,277.385126460498,4.01190414712088)); #5218=CARTESIAN_POINT('',(60.8674214612155,272.130886128548,4.0119041471209)); #5219=CARTESIAN_POINT('',(60.8674214612155,277.385126460498,0.0119041471208192)); #5220=CARTESIAN_POINT('',(60.8674214612155,277.385126460498,0.)); #5221=CARTESIAN_POINT('',(60.8674214612156,289.376645796598,0.0119041471208192)); #5222=CARTESIAN_POINT('',(60.8674214612155,272.130886128548,0.0119041471208192)); #5223=CARTESIAN_POINT('',(60.8674214612156,289.376645796598,0.)); #5224=CARTESIAN_POINT('',(60.8674214612156,289.376645796598,-20.5878405529569)); #5225=CARTESIAN_POINT('',(60.8674214612156,289.385126460498,0.)); #5226=CARTESIAN_POINT('',(60.8674214612155,277.385126460498,0.)); #5227=CARTESIAN_POINT('',(60.8674214612156,289.385126460498,0.)); #5228=CARTESIAN_POINT('Origin',(97.3674214612156,289.376645796598,-41.1756811059137)); #5229=CARTESIAN_POINT('',(23.8674214612156,289.376645796598,0.0119041471208192)); #5230=CARTESIAN_POINT('',(97.3674214612156,289.376645796598,0.0119041471208192)); #5231=CARTESIAN_POINT('',(23.8674214612156,289.376645796598,0.)); #5232=CARTESIAN_POINT('',(23.8674214612156,289.376645796598,-20.5878405529568)); #5233=CARTESIAN_POINT('',(69.8674214612156,289.376645796598,0.)); #5234=CARTESIAN_POINT('Origin',(97.3674214612156,239.536751902806,19.6116388815996)); #5235=CARTESIAN_POINT('',(-2.63257853878439,239.536751902806,19.6116388815996)); #5236=CARTESIAN_POINT('',(-2.63257853878439,244.016539690389,8.41216941264223)); #5237=CARTESIAN_POINT('',(-2.6325785387844,242.114508576026,13.1672471985495)); #5238=CARTESIAN_POINT('',(87.3674214612156,239.536751902806,19.6116388815996)); #5239=CARTESIAN_POINT('',(97.3674214612156,239.536751902806,19.6116388815996)); #5240=CARTESIAN_POINT('',(87.3674214612156,244.016539690389,8.41216941264223)); #5241=CARTESIAN_POINT('',(87.3674214612156,243.976577541543,8.51207478475641)); #5242=CARTESIAN_POINT('',(97.3674214612156,244.016539690389,8.41216941264223)); #5243=CARTESIAN_POINT('Origin',(97.3674214612156,250.515876526586,11.011904147121)); #5244=CARTESIAN_POINT('',(-2.63257853878439,250.515876526586,4.01190414712096)); #5245=CARTESIAN_POINT('Origin',(-2.63257853878439,250.515876526586,11.011904147121)); #5246=CARTESIAN_POINT('',(87.3674214612156,250.515876526586,4.01190414712096)); #5247=CARTESIAN_POINT('Origin',(87.3674214612156,250.515876526586,11.011904147121)); #5248=CARTESIAN_POINT('',(97.3674214612156,250.515876526586,4.01190414712096)); #5249=CARTESIAN_POINT('Origin',(97.3674214612156,254.876645796598,4.01190414712094)); #5250=CARTESIAN_POINT('',(-2.6325785387844,254.885126460498,4.01190414712094)); #5251=CARTESIAN_POINT('',(-2.6325785387844,241.380886128548,4.01190414712098)); #5252=CARTESIAN_POINT('',(87.3674214612156,254.885126460498,4.01190414712094)); #5253=CARTESIAN_POINT('',(87.3674214612156,254.880886128548,4.01190414712094)); #5254=CARTESIAN_POINT('',(83.3674214612156,254.885126460497,4.01190414712094)); #5255=CARTESIAN_POINT('',(90.3674214612156,254.885126460498,4.01190414712094)); #5256=CARTESIAN_POINT('Origin',(83.3674214612156,277.385126460498,4.01190414712094)); #5257=CARTESIAN_POINT('',(23.8674214612156,289.385126460498,4.01190414712085)); #5258=CARTESIAN_POINT('',(23.8674214612156,289.385126460498,4.01190414712085)); #5259=CARTESIAN_POINT('',(23.8674214612157,277.385126460498,4.01190414712088)); #5260=CARTESIAN_POINT('',(23.8674214612157,266.130886128547,4.01190414712091)); #5261=CARTESIAN_POINT('',(1.3674214612156,254.885126460498,4.01190414712094)); #5262=CARTESIAN_POINT('Origin',(1.3674214612156,277.385126460498,4.01190414712094)); #5263=CARTESIAN_POINT('',(47.3674214612156,254.885126460498,4.01190414712094)); #5264=CARTESIAN_POINT('',(49.4674214612156,273.385126460498,4.01190414712094)); #5265=CARTESIAN_POINT('Origin',(42.3674214612156,273.385126460498,4.01190414712094)); #5266=CARTESIAN_POINT('Origin',(97.3674214612156,254.876645796598,0.0119041471208192)); #5267=CARTESIAN_POINT('',(83.3674214612156,254.885126460497,0.0119041471208192)); #5268=CARTESIAN_POINT('Origin',(83.3674214612156,277.385126460498,0.0119041471208192)); #5269=CARTESIAN_POINT('',(87.3674214612156,254.885126460498,0.0119041471208192)); #5270=CARTESIAN_POINT('',(90.3674214612156,254.885126460498,0.0119041471208192)); #5271=CARTESIAN_POINT('',(87.3674214612156,248.115876526586,0.0119041471208192)); #5272=CARTESIAN_POINT('',(87.3674214612156,254.880886128548,0.0119041471208192)); #5273=CARTESIAN_POINT('',(-2.63257853878439,248.115876526586,0.0119041471208192)); #5274=CARTESIAN_POINT('',(97.3674214612156,248.115876526586,0.0119041471208192)); #5275=CARTESIAN_POINT('',(-2.6325785387844,254.885126460498,0.0119041471208192)); #5276=CARTESIAN_POINT('',(-2.6325785387844,241.380886128548,0.0119041471208192)); #5277=CARTESIAN_POINT('',(1.3674214612156,254.885126460498,0.0119041471208192)); #5278=CARTESIAN_POINT('',(47.3674214612156,254.885126460498,0.0119041471208192)); #5279=CARTESIAN_POINT('',(23.8674214612157,277.385126460498,0.0119041471208192)); #5280=CARTESIAN_POINT('Origin',(1.3674214612156,277.385126460498,0.0119041471208192)); #5281=CARTESIAN_POINT('',(23.8674214612157,266.130886128547,0.0119041471208192)); #5282=CARTESIAN_POINT('',(49.4674214612156,273.385126460498,0.0119041471208192)); #5283=CARTESIAN_POINT('Origin',(42.3674214612156,273.385126460498,0.0119041471208192)); #5284=CARTESIAN_POINT('Origin',(97.3674214612156,248.115876526586,7.01190414712082)); #5285=CARTESIAN_POINT('',(87.3674214612156,241.616539690389,4.41216941264214)); #5286=CARTESIAN_POINT('Origin',(87.3674214612156,248.115876526586,7.01190414712082)); #5287=CARTESIAN_POINT('',(-2.63257853878439,241.616539690389,4.41216941264214)); #5288=CARTESIAN_POINT('',(97.3674214612156,241.616539690389,4.41216941264214)); #5289=CARTESIAN_POINT('Origin',(-2.63257853878439,248.115876526586,7.01190414712082)); #5290=CARTESIAN_POINT('Origin',(97.3674214612156,241.616539690389,4.41216941264214)); #5291=CARTESIAN_POINT('',(87.3674214612156,237.136751902806,15.6116388815995)); #5292=CARTESIAN_POINT('',(87.3674214612156,243.2923335043,0.222684877863901)); #5293=CARTESIAN_POINT('',(-2.63257853878439,237.136751902806,15.6116388815995)); #5294=CARTESIAN_POINT('',(97.3674214612156,237.136751902806,15.6116388815995)); #5295=CARTESIAN_POINT('',(-2.6325785387844,241.430264538783,4.87785729165699)); #5296=CARTESIAN_POINT('Origin',(97.3674214612156,230.637415066609,13.0119041471208)); #5297=CARTESIAN_POINT('',(87.3674214612156,230.637415066609,20.0119041471208)); #5298=CARTESIAN_POINT('Origin',(87.3674214612156,230.637415066609,13.0119041471208)); #5299=CARTESIAN_POINT('',(-2.63257853878439,230.637415066609,20.0119041471208)); #5300=CARTESIAN_POINT('',(97.3674214612156,230.637415066609,20.0119041471208)); #5301=CARTESIAN_POINT('Origin',(-2.63257853878439,230.637415066609,13.0119041471208)); #5302=CARTESIAN_POINT('Origin',(97.3674214612156,217.876645796598,20.0119041471208)); #5303=CARTESIAN_POINT('',(87.3674214612156,227.885126460498,20.0119041471208)); #5304=CARTESIAN_POINT('',(87.3674214612156,236.380886128548,20.0119041471208)); #5305=CARTESIAN_POINT('',(97.3674214612156,217.885126460498,20.0119041471208)); #5306=CARTESIAN_POINT('Origin',(97.3674214612156,227.885126460498,20.0119041471208)); #5307=CARTESIAN_POINT('',(97.3674214612156,87.8851264604976,20.0119041471208)); #5308=CARTESIAN_POINT('',(97.3674214612156,217.880886128548,20.0119041471208)); #5309=CARTESIAN_POINT('',(82.3674214612156,72.8851264604976,20.0119041471208)); #5310=CARTESIAN_POINT('Origin',(82.3674214612156,87.8851264604976,20.0119041471208)); #5311=CARTESIAN_POINT('',(2.3674214612156,72.8851264604976,20.0119041471208)); #5312=CARTESIAN_POINT('',(89.8674214612156,72.8851264604976,20.0119041471208)); #5313=CARTESIAN_POINT('',(-12.6325785387844,87.8851264604976,20.0119041471208)); #5314=CARTESIAN_POINT('Origin',(2.3674214612156,87.8851264604976,20.0119041471208)); #5315=CARTESIAN_POINT('',(-12.6325785387844,217.885126460498,20.0119041471208)); #5316=CARTESIAN_POINT('',(-12.6325785387844,152.880886128548,20.0119041471208)); #5317=CARTESIAN_POINT('',(-2.6325785387844,227.885126460498,20.0119041471208)); #5318=CARTESIAN_POINT('Origin',(-12.6325785387844,227.885126460498,20.0119041471208)); #5319=CARTESIAN_POINT('',(-2.6325785387844,222.880886128548,20.0119041471208)); #5320=CARTESIAN_POINT('',(46.6174214612156,208.385126460498,20.0119041471208)); #5321=CARTESIAN_POINT('Origin',(42.3674214612156,208.385126460498,20.0119041471208)); #5322=CARTESIAN_POINT('',(6.6174214612156,164.885126460498,20.0119041471208)); #5323=CARTESIAN_POINT('Origin',(2.3674214612156,164.885126460498,20.0119041471208)); #5324=CARTESIAN_POINT('',(86.6174214612156,164.885126460498,20.0119041471208)); #5325=CARTESIAN_POINT('Origin',(82.3674214612156,164.885126460498,20.0119041471208)); #5326=CARTESIAN_POINT('',(6.6174214612156,87.8851264604976,20.0119041471208)); #5327=CARTESIAN_POINT('Origin',(2.3674214612156,87.8851264604976,20.0119041471208)); #5328=CARTESIAN_POINT('',(86.6174214612156,87.8851264604976,20.0119041471208)); #5329=CARTESIAN_POINT('Origin',(82.3674214612156,87.8851264604976,20.0119041471208)); #5330=CARTESIAN_POINT('Origin',(97.3674214612156,233.037415066609,17.0119041471208)); #5331=CARTESIAN_POINT('',(-2.63257853878439,233.44547921717,24.)); #5332=CARTESIAN_POINT('Origin',(-2.63257853878439,233.037415066609,17.0119041471208)); #5333=CARTESIAN_POINT('',(87.3674214612156,233.44547921717,24.)); #5334=CARTESIAN_POINT('',(97.3674214612156,233.44547921717,24.)); #5335=CARTESIAN_POINT('Origin',(87.3674214612156,233.037415066609,17.0119041471208)); #5336=CARTESIAN_POINT('Origin',(42.3674214612156,175.497820141342,0.)); #5337=CARTESIAN_POINT('',(23.8674214612156,289.385126460498,0.)); #5338=CARTESIAN_POINT('',(23.8674214612156,289.385126460498,0.)); #5339=CARTESIAN_POINT('',(60.8674214612156,289.385126460498,0.)); #5340=CARTESIAN_POINT('Origin',(-12.6325785387844,227.885126460498,0.)); #5341=CARTESIAN_POINT('',(-12.6325785387844,217.885126460498,24.)); #5342=CARTESIAN_POINT('',(-12.6325785387844,217.885126460498,0.)); #5343=CARTESIAN_POINT('',(-2.6325785387844,227.885126460498,24.)); #5344=CARTESIAN_POINT('Origin',(-12.6325785387844,227.885126460498,24.)); #5345=CARTESIAN_POINT('',(-2.6325785387844,227.885126460498,0.)); #5346=CARTESIAN_POINT('Origin',(-12.6325785387844,87.8851264604976,0.)); #5347=CARTESIAN_POINT('',(-12.6325785387844,87.8851264604976,24.)); #5348=CARTESIAN_POINT('',(-12.6325785387844,87.8851264604976,0.)); #5349=CARTESIAN_POINT('',(-12.6325785387844,217.885126460498,24.)); #5350=CARTESIAN_POINT('Origin',(2.3674214612156,87.8851264604976,0.)); #5351=CARTESIAN_POINT('',(2.3674214612156,72.8851264604976,24.)); #5352=CARTESIAN_POINT('',(2.3674214612156,72.8851264604976,0.)); #5353=CARTESIAN_POINT('Origin',(2.3674214612156,87.8851264604976,24.)); #5354=CARTESIAN_POINT('Origin',(-2.6325785387844,227.885126460498,0.)); #5355=CARTESIAN_POINT('',(-2.6325785387844,254.885126460498,24.)); #5356=CARTESIAN_POINT('',(-2.6325785387844,254.885126460498,0.)); #5357=CARTESIAN_POINT('Origin',(-2.6325785387844,254.885126460498,0.)); #5358=CARTESIAN_POINT('',(1.3674214612156,254.885126460498,0.)); #5359=CARTESIAN_POINT('Origin',(1.3674214612156,277.385126460498,0.)); #5360=CARTESIAN_POINT('',(23.8674214612157,277.385126460498,0.)); #5361=CARTESIAN_POINT('Origin',(23.8674214612157,277.385126460498,0.)); #5362=CARTESIAN_POINT('',(23.8674214612156,289.385126460498,0.)); #5363=CARTESIAN_POINT('Origin',(42.3674214612156,273.385126460498,0.)); #5364=CARTESIAN_POINT('',(49.4674214612156,273.385126460498,0.)); #5365=CARTESIAN_POINT('Origin',(42.3674214612156,208.385126460498,0.)); #5366=CARTESIAN_POINT('',(46.6174214612156,208.385126460498,24.)); #5367=CARTESIAN_POINT('',(46.6174214612156,208.385126460498,0.)); #5368=CARTESIAN_POINT('Origin',(42.3674214612156,208.385126460498,24.)); #5369=CARTESIAN_POINT('Origin',(2.3674214612156,164.885126460498,0.)); #5370=CARTESIAN_POINT('',(6.6174214612156,164.885126460498,24.)); #5371=CARTESIAN_POINT('',(6.6174214612156,164.885126460498,0.)); #5372=CARTESIAN_POINT('Origin',(2.3674214612156,164.885126460498,24.)); #5373=CARTESIAN_POINT('Origin',(82.3674214612156,164.885126460498,0.)); #5374=CARTESIAN_POINT('',(86.6174214612156,164.885126460498,24.)); #5375=CARTESIAN_POINT('',(86.6174214612156,164.885126460498,0.)); #5376=CARTESIAN_POINT('Origin',(82.3674214612156,164.885126460498,24.)); #5377=CARTESIAN_POINT('Origin',(2.3674214612156,87.8851264604976,0.)); #5378=CARTESIAN_POINT('',(6.6174214612156,87.8851264604976,24.)); #5379=CARTESIAN_POINT('',(6.6174214612156,87.8851264604976,0.)); #5380=CARTESIAN_POINT('Origin',(2.3674214612156,87.8851264604976,24.)); #5381=CARTESIAN_POINT('Origin',(82.3674214612156,87.8851264604976,0.)); #5382=CARTESIAN_POINT('',(86.6174214612156,87.8851264604976,24.)); #5383=CARTESIAN_POINT('',(86.6174214612156,87.8851264604976,0.)); #5384=CARTESIAN_POINT('Origin',(82.3674214612156,87.8851264604976,24.)); #5385=CARTESIAN_POINT('Origin',(82.3674214612156,72.8851264604976,0.)); #5386=CARTESIAN_POINT('',(82.3674214612156,72.8851264604976,24.)); #5387=CARTESIAN_POINT('',(82.3674214612156,72.8851264604976,0.)); #5388=CARTESIAN_POINT('',(2.3674214612156,72.8851264604976,24.)); #5389=CARTESIAN_POINT('Origin',(23.8674214612156,289.385126460498,0.)); #5390=CARTESIAN_POINT('Origin',(83.3674214612156,277.385126460498,0.)); #5391=CARTESIAN_POINT('',(83.3674214612156,254.885126460497,0.)); #5392=CARTESIAN_POINT('Origin',(83.3674214612156,254.885126460497,0.)); #5393=CARTESIAN_POINT('',(87.3674214612156,254.885126460498,0.)); #5394=CARTESIAN_POINT('Origin',(87.3674214612156,254.885126460498,0.)); #5395=CARTESIAN_POINT('',(87.3674214612156,227.885126460498,24.)); #5396=CARTESIAN_POINT('',(87.3674214612156,227.885126460498,24.)); #5397=CARTESIAN_POINT('',(87.3674214612156,227.885126460498,0.)); #5398=CARTESIAN_POINT('Origin',(97.3674214612156,227.885126460498,0.)); #5399=CARTESIAN_POINT('',(97.3674214612156,217.885126460498,24.)); #5400=CARTESIAN_POINT('Origin',(97.3674214612156,227.885126460498,24.)); #5401=CARTESIAN_POINT('',(97.3674214612156,217.885126460498,0.)); #5402=CARTESIAN_POINT('Origin',(97.3674214612156,217.885126460498,0.)); #5403=CARTESIAN_POINT('',(97.3674214612156,87.8851264604976,24.)); #5404=CARTESIAN_POINT('',(97.3674214612156,87.8851264604976,24.)); #5405=CARTESIAN_POINT('',(97.3674214612156,87.8851264604976,0.)); #5406=CARTESIAN_POINT('Origin',(82.3674214612156,87.8851264604976,0.)); #5407=CARTESIAN_POINT('Origin',(82.3674214612156,87.8851264604976,24.)); #5408=CARTESIAN_POINT('Origin',(42.3674214612156,175.497820141342,24.)); #5409=CARTESIAN_POINT('',(0.,0.,0.)); #5410=CARTESIAN_POINT('Origin',(2.65683429014344E-15,-13.1,0.)); #5411=CARTESIAN_POINT('',(-7.,-12.6,-8.57252759403147E-16)); #5412=CARTESIAN_POINT('',(7.,-12.6,-8.57252759403147E-16)); #5413=CARTESIAN_POINT('Origin',(2.57661586350867E-15,-12.6,0.)); #5414=CARTESIAN_POINT('Origin',(2.57661586350867E-15,-12.6,0.)); #5415=CARTESIAN_POINT('',(-6.,-13.6,7.34788079488412E-16)); #5416=CARTESIAN_POINT('',(-6.5,-13.1,7.96020419445779E-16)); #5417=CARTESIAN_POINT('Origin',(2.7370527167782E-15,-13.6,0.)); #5418=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,0.)); #5419=CARTESIAN_POINT('',(-7.,12.6,8.57252759403147E-16)); #5420=CARTESIAN_POINT('',(7.,12.6,-8.57252759403147E-16)); #5421=CARTESIAN_POINT('Origin',(-1.46639283888352E-15,12.6,0.)); #5422=CARTESIAN_POINT('Origin',(-1.46639283888352E-15,12.6,0.)); #5423=CARTESIAN_POINT('',(7.,0.,-8.57252759403147E-16)); #5424=CARTESIAN_POINT('Origin',(-5.425,-13.6,0.)); #5425=CARTESIAN_POINT('',(3.85,-13.6,-4.71489017671731E-16)); #5426=CARTESIAN_POINT('Origin',(2.7370527167782E-15,-13.6,0.)); #5427=CARTESIAN_POINT('Origin',(-1.54661126551828E-15,13.1,0.)); #5428=CARTESIAN_POINT('',(-6.00000000000001,13.6,-7.34788079488412E-16)); #5429=CARTESIAN_POINT('Origin',(-1.62682969215305E-15,13.6,0.)); #5430=CARTESIAN_POINT('',(-6.5,13.1,7.9602041944578E-16)); #5431=CARTESIAN_POINT('Origin',(-5.42500000000001,13.6,0.)); #5432=CARTESIAN_POINT('',(3.85,13.6,-4.71489017671732E-16)); #5433=CARTESIAN_POINT('Origin',(-1.62682969215305E-15,13.6,0.)); #5434=CARTESIAN_POINT('Origin',(-4.425,-17.05,0.)); #5435=CARTESIAN_POINT('',(5.,-17.05,-6.12323399573677E-16)); #5436=CARTESIAN_POINT('Origin',(3.29055986055809E-15,-17.05,0.)); #5437=CARTESIAN_POINT('Origin',(3.23440696191375E-15,-16.7,0.)); #5438=CARTESIAN_POINT('',(5.,-16.1,-6.12323399573677E-16)); #5439=CARTESIAN_POINT('Origin',(3.13814484995203E-15,-16.1,0.)); #5440=CARTESIAN_POINT('',(5.,-16.7,-6.12323399573677E-16)); #5441=CARTESIAN_POINT('Origin',(-4.425,-16.1,0.)); #5442=CARTESIAN_POINT('',(3.85,-16.1,-4.71489017671731E-16)); #5443=CARTESIAN_POINT('Origin',(3.13814484995203E-15,-16.1,0.)); #5444=CARTESIAN_POINT('Origin',(2.93759878336512E-15,-14.85,0.)); #5445=CARTESIAN_POINT('',(3.85,-14.85,-4.71489017671731E-16)); #5446=CARTESIAN_POINT('Origin',(-1.82737575873996E-15,14.85,0.)); #5447=CARTESIAN_POINT('',(3.85,16.1,-4.71489017671732E-16)); #5448=CARTESIAN_POINT('Origin',(-2.02792182532688E-15,16.1,0.)); #5449=CARTESIAN_POINT('',(3.85,14.85,-4.71489017671732E-16)); #5450=CARTESIAN_POINT('Origin',(-4.42500000000001,16.1,0.)); #5451=CARTESIAN_POINT('',(5.,16.1,-6.12323399573677E-16)); #5452=CARTESIAN_POINT('Origin',(-2.02792182532688E-15,16.1,0.)); #5453=CARTESIAN_POINT('Origin',(-2.12418393728859E-15,16.7,0.)); #5454=CARTESIAN_POINT('',(5.,17.05,-6.12323399573677E-16)); #5455=CARTESIAN_POINT('Origin',(-2.18033683593293E-15,17.05,0.)); #5456=CARTESIAN_POINT('',(5.,16.7,-6.12323399573677E-16)); #5457=CARTESIAN_POINT('Origin',(-1.92500000000001,17.05,0.)); #5458=CARTESIAN_POINT('',(0.,0.,0.)); #5459=CARTESIAN_POINT('',(0.,0.,0.)); #5460=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5461=CARTESIAN_POINT('',(-69.9584694957815,194.772047506728,26.)); #5462=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,26.)); #5463=CARTESIAN_POINT('',(-69.9584694957815,194.772047506728,24.3)); #5464=CARTESIAN_POINT('',(-69.9584694957815,194.772047506728,24.3)); #5465=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5466=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,26.)); #5467=CARTESIAN_POINT('',(-73.1084694957815,194.772047506728,26.)); #5468=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,26.)); #5469=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5470=CARTESIAN_POINT('',(-74.6716056477968,199.763188461513,24.3)); #5471=CARTESIAN_POINT('',(-73.3830042299105,201.067153488676,24.3)); #5472=CARTESIAN_POINT('Origin',(-75.7198478232752,202.087771938514,24.3)); #5473=CARTESIAN_POINT('',(-78.1911409974757,202.716429275343,24.3)); #5474=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5475=CARTESIAN_POINT('',(-77.1307494170237,204.211885092929,24.3)); #5476=CARTESIAN_POINT('Origin',(-75.7198478232751,202.087771938514,24.3)); #5477=CARTESIAN_POINT('',(-76.8064382797911,205.102922619353,24.3)); #5478=CARTESIAN_POINT('',(-77.1307494170237,204.211885092929,24.3)); #5479=CARTESIAN_POINT('',(-79.0800879187232,205.931375255847,24.3)); #5480=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5481=CARTESIAN_POINT('',(-79.4084694957816,205.029154287914,24.3)); #5482=CARTESIAN_POINT('',(-79.0800879187232,205.931375255847,24.3)); #5483=CARTESIAN_POINT('',(-79.5612559455148,203.215110333953,24.3)); #5484=CARTESIAN_POINT('Origin',(-81.8584694957816,204.322047506728,24.3)); #5485=CARTESIAN_POINT('',(-84.1556830460483,203.215110333953,24.3)); #5486=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5487=CARTESIAN_POINT('',(-84.3084694957816,205.029154287914,24.3)); #5488=CARTESIAN_POINT('Origin',(-81.8584694957816,204.322047506728,24.3)); #5489=CARTESIAN_POINT('',(-84.6368510728399,205.931375255847,24.3)); #5490=CARTESIAN_POINT('',(-84.3084694957816,205.029154287914,24.3)); #5491=CARTESIAN_POINT('',(-86.910500711772,205.102922619353,24.3)); #5492=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5493=CARTESIAN_POINT('',(-86.5861895745394,204.211885092929,24.3)); #5494=CARTESIAN_POINT('',(-86.910500711772,205.102922619353,24.3)); #5495=CARTESIAN_POINT('',(-85.5257979940874,202.716429275343,24.3)); #5496=CARTESIAN_POINT('Origin',(-87.997091168288,202.087771938514,24.3)); #5497=CARTESIAN_POINT('',(-89.0453333437664,199.763188461513,24.3)); #5498=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5499=CARTESIAN_POINT('',(-90.3284195316016,201.054617515202,24.3)); #5500=CARTESIAN_POINT('Origin',(-87.997091168288,202.087771938514,24.3)); #5501=CARTESIAN_POINT('',(-91.1599108733162,201.53467926517,24.3)); #5502=CARTESIAN_POINT('',(-90.3284195316016,201.054617515202,24.3)); #5503=CARTESIAN_POINT('',(-92.3691084548691,199.438573909922,24.3)); #5504=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5505=CARTESIAN_POINT('',(-91.5479238285993,198.964462744891,24.3)); #5506=CARTESIAN_POINT('',(-92.3691084548691,199.438573909922,24.3)); #5507=CARTESIAN_POINT('',(-89.7743562804864,198.50048369523,24.3)); #5508=CARTESIAN_POINT('Origin',(-91.2633835370482,196.430387603447,24.3)); #5509=CARTESIAN_POINT('',(-90.5721701739176,193.975856265975,24.3)); #5510=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5511=CARTESIAN_POINT('',(-92.3851858126521,194.140396412536,24.3)); #5512=CARTESIAN_POINT('Origin',(-91.2633835370482,196.430387603447,24.3)); #5513=CARTESIAN_POINT('',(-93.330722879238,193.973672716437,24.3)); #5514=CARTESIAN_POINT('',(-92.3851858126521,194.140396412536,24.3)); #5515=CARTESIAN_POINT('',(-92.9096714162688,191.590705633773,24.3)); #5516=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5517=CARTESIAN_POINT('',(-91.975854714044,191.755362713411,24.3)); #5518=CARTESIAN_POINT('',(-92.9096714162688,191.590705633773,24.3)); #5519=CARTESIAN_POINT('',(-90.31898316504,192.539961385564,24.3)); #5520=CARTESIAN_POINT('Origin',(-90.129012101923,189.997047506728,24.3)); #5521=CARTESIAN_POINT('',(-88.0217696147732,188.561070800666,24.3)); #5522=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5523=CARTESIAN_POINT('',(-89.5163845376187,187.521731876863,24.3)); #5524=CARTESIAN_POINT('Origin',(-90.129012101923,189.997047506728,24.3)); #5525=CARTESIAN_POINT('',(-90.1335400271462,186.786234605029,24.3)); #5526=CARTESIAN_POINT('',(-89.5163845376187,187.521731876863,24.3)); #5527=CARTESIAN_POINT('',(-88.2792541786442,185.231422576656,24.3)); #5528=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5529=CARTESIAN_POINT('',(-87.6697486136518,185.957803023441,24.3)); #5530=CARTESIAN_POINT('',(-88.2792541786442,185.231422576656,24.3)); #5531=CARTESIAN_POINT('',(-86.9048416756118,187.623856978865,24.3)); #5532=CARTESIAN_POINT('Origin',(-85.1247618645417,185.797982978222,24.3)); #5533=CARTESIAN_POINT('',(-82.5874924325017,186.052470363441,24.3)); #5534=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5535=CARTESIAN_POINT('',(-83.0643597062642,184.295570602686,24.3)); #5536=CARTESIAN_POINT('Origin',(-85.1247618645417,185.797982978222,24.3)); #5537=CARTESIAN_POINT('',(-83.0643597062642,183.33544710275,24.3)); #5538=CARTESIAN_POINT('',(-83.0643597062642,184.295570602686,24.3)); #5539=CARTESIAN_POINT('',(-80.644480428835,183.336303956554,24.3)); #5540=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,24.3)); #5541=CARTESIAN_POINT('',(-80.644480428835,184.284526286617,24.3)); #5542=CARTESIAN_POINT('',(-80.644480428835,183.336303956554,24.3)); #5543=CARTESIAN_POINT('',(-81.1294465590615,186.052470363441,24.3)); #5544=CARTESIAN_POINT('Origin',(-78.5921771270215,185.797982978222,24.3)); #5545=CARTESIAN_POINT('',(-76.8120973159514,187.623856978864,24.3)); #5546=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5547=CARTESIAN_POINT('',(-76.048085443448,185.971469304982,24.3)); #5548=CARTESIAN_POINT('Origin',(-78.5921771270215,185.797982978222,24.3)); #5549=CARTESIAN_POINT('',(-75.4309299539206,185.235972033148,24.3)); #5550=CARTESIAN_POINT('',(-76.048085443448,185.971469304982,24.3)); #5551=CARTESIAN_POINT('',(-73.5777456554358,186.792096837712,24.3)); #5552=CARTESIAN_POINT('Origin',(-81.8584694957817,194.772047506728,24.3)); #5553=CARTESIAN_POINT('',(-74.1872512204281,187.518477284498,24.3)); #5554=CARTESIAN_POINT('',(-73.5777456554358,186.792096837712,24.3)); #5555=CARTESIAN_POINT('',(-75.69516937679,188.561070800666,24.3)); #5556=CARTESIAN_POINT('Origin',(-73.5879268896403,189.997047506728,24.3)); #5557=CARTESIAN_POINT('',(-73.3979558265233,192.539961385564,24.3)); #5558=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5559=CARTESIAN_POINT('',(-71.7505544539445,191.765256355406,24.3)); #5560=CARTESIAN_POINT('Origin',(-73.5879268896402,189.997047506728,24.3)); #5561=CARTESIAN_POINT('',(-70.8050173873587,191.598532659307,24.3)); #5562=CARTESIAN_POINT('',(-71.7505544539445,191.765256355406,24.3)); #5563=CARTESIAN_POINT('',(-70.3856535969286,193.981797324175,24.3)); #5564=CARTESIAN_POINT('Origin',(-81.8584694957817,194.772047506728,24.3)); #5565=CARTESIAN_POINT('',(-71.3194702991535,194.146454403813,24.3)); #5566=CARTESIAN_POINT('',(-70.3856535969286,193.981797324175,24.3)); #5567=CARTESIAN_POINT('',(-73.1447688176455,193.975856265975,24.3)); #5568=CARTESIAN_POINT('Origin',(-72.453555454515,196.430387603447,24.3)); #5569=CARTESIAN_POINT('',(-73.1084694957815,194.772047506728,24.3)); #5570=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5571=CARTESIAN_POINT('',(-73.9425827110768,198.50048369523,24.3)); #5572=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,24.3)); #5573=CARTESIAN_POINT('',(-72.182629249479,198.965954402296,24.3)); #5574=CARTESIAN_POINT('Origin',(-72.4535554545151,196.430387603447,24.3)); #5575=CARTESIAN_POINT('',(-71.3511379077643,199.446016152264,24.3)); #5576=CARTESIAN_POINT('',(-72.182629249479,198.965954402296,24.3)); #5577=CARTESIAN_POINT('',(-72.5618196036406,201.541264653707,24.3)); #5578=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,24.3)); #5579=CARTESIAN_POINT('',(-72.5618196036406,201.541264653707,24.3)); #5580=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5581=CARTESIAN_POINT('',(-73.9425827110768,198.50048369523,1.7)); #5582=CARTESIAN_POINT('',(-73.9425827110768,198.50048369523,1.7)); #5583=CARTESIAN_POINT('',(-73.1084694957816,194.772047506728,1.7)); #5584=CARTESIAN_POINT('',(-73.1447688176455,193.975856265975,1.7)); #5585=CARTESIAN_POINT('',(-73.1447688176455,193.975856265975,1.7)); #5586=CARTESIAN_POINT('',(-73.3979558265233,192.539961385564,1.7)); #5587=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5588=CARTESIAN_POINT('',(-73.3979558265233,192.539961385564,1.7)); #5589=CARTESIAN_POINT('',(-75.69516937679,188.561070800666,1.7)); #5590=CARTESIAN_POINT('',(-75.69516937679,188.561070800666,1.7)); #5591=CARTESIAN_POINT('',(-76.8120973159514,187.623856978864,1.7)); #5592=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5593=CARTESIAN_POINT('',(-76.8120973159514,187.623856978864,1.7)); #5594=CARTESIAN_POINT('',(-81.1294465590615,186.052470363441,1.7)); #5595=CARTESIAN_POINT('',(-81.1294465590615,186.052470363441,1.7)); #5596=CARTESIAN_POINT('',(-82.5874924325017,186.052470363441,1.7)); #5597=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5598=CARTESIAN_POINT('',(-82.5874924325017,186.052470363441,1.7)); #5599=CARTESIAN_POINT('',(-86.9048416756118,187.623856978865,1.7)); #5600=CARTESIAN_POINT('',(-86.9048416756118,187.623856978865,1.7)); #5601=CARTESIAN_POINT('',(-88.0217696147732,188.561070800666,1.7)); #5602=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5603=CARTESIAN_POINT('',(-88.0217696147732,188.561070800666,1.7)); #5604=CARTESIAN_POINT('',(-90.31898316504,192.539961385564,1.7)); #5605=CARTESIAN_POINT('',(-90.31898316504,192.539961385564,1.7)); #5606=CARTESIAN_POINT('',(-90.5721701739176,193.975856265975,1.7)); #5607=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5608=CARTESIAN_POINT('',(-90.5721701739176,193.975856265975,1.7)); #5609=CARTESIAN_POINT('',(-89.7743562804864,198.50048369523,1.7)); #5610=CARTESIAN_POINT('',(-89.7743562804864,198.50048369523,1.7)); #5611=CARTESIAN_POINT('',(-89.0453333437664,199.763188461513,1.7)); #5612=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5613=CARTESIAN_POINT('',(-89.0453333437664,199.763188461513,1.7)); #5614=CARTESIAN_POINT('',(-85.5257979940874,202.716429275343,1.7)); #5615=CARTESIAN_POINT('',(-85.5257979940874,202.716429275343,1.7)); #5616=CARTESIAN_POINT('',(-84.1556830460483,203.215110333953,1.7)); #5617=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5618=CARTESIAN_POINT('',(-84.1556830460483,203.215110333953,1.7)); #5619=CARTESIAN_POINT('',(-79.5612559455148,203.215110333953,1.7)); #5620=CARTESIAN_POINT('',(-79.5612559455148,203.215110333953,1.7)); #5621=CARTESIAN_POINT('',(-78.1911409974757,202.716429275343,1.7)); #5622=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5623=CARTESIAN_POINT('',(-78.1911409974757,202.716429275343,1.7)); #5624=CARTESIAN_POINT('',(-74.6716056477968,199.763188461513,1.7)); #5625=CARTESIAN_POINT('',(-74.6716056477968,199.763188461513,1.7)); #5626=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5627=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5628=CARTESIAN_POINT('',(-74.8584694957816,194.772047506728,0.)); #5629=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5630=CARTESIAN_POINT('',(-74.8584694957816,194.772047506728,1.7)); #5631=CARTESIAN_POINT('',(-74.8584694957816,194.772047506728,0.)); #5632=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5633=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5634=CARTESIAN_POINT('',(-84.1556830460483,203.215110333953,0.)); #5635=CARTESIAN_POINT('',(-84.1556830460483,203.215110333953,0.)); #5636=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5637=CARTESIAN_POINT('',(-79.5612559455148,203.215110333953,0.)); #5638=CARTESIAN_POINT('',(-79.5612559455148,203.215110333953,0.)); #5639=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5640=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5641=CARTESIAN_POINT('',(-90.31898316504,192.539961385564,0.)); #5642=CARTESIAN_POINT('',(-90.31898316504,192.539961385564,0.)); #5643=CARTESIAN_POINT('',(-88.0217696147732,188.561070800666,0.)); #5644=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5645=CARTESIAN_POINT('',(-88.0217696147732,188.561070800666,0.)); #5646=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5647=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5648=CARTESIAN_POINT('',(-82.5874924325017,186.052470363441,0.)); #5649=CARTESIAN_POINT('',(-82.5874924325017,186.052470363441,0.)); #5650=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5651=CARTESIAN_POINT('',(-86.9048416756118,187.623856978865,0.)); #5652=CARTESIAN_POINT('',(-86.9048416756118,187.623856978865,0.)); #5653=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5654=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5655=CARTESIAN_POINT('',(-76.8120973159514,187.623856978864,0.)); #5656=CARTESIAN_POINT('',(-76.8120973159514,187.623856978864,0.)); #5657=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5658=CARTESIAN_POINT('',(-81.1294465590615,186.052470363441,0.)); #5659=CARTESIAN_POINT('',(-81.1294465590615,186.052470363441,0.)); #5660=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5661=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5662=CARTESIAN_POINT('',(-89.7743562804864,198.50048369523,0.)); #5663=CARTESIAN_POINT('',(-89.7743562804864,198.50048369523,0.)); #5664=CARTESIAN_POINT('',(-90.5721701739176,193.975856265975,0.)); #5665=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5666=CARTESIAN_POINT('',(-90.5721701739176,193.975856265975,0.)); #5667=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5668=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5669=CARTESIAN_POINT('',(-73.3979558265233,192.539961385564,0.)); #5670=CARTESIAN_POINT('',(-73.3979558265233,192.539961385564,0.)); #5671=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5672=CARTESIAN_POINT('',(-75.69516937679,188.561070800666,0.)); #5673=CARTESIAN_POINT('',(-75.69516937679,188.561070800666,0.)); #5674=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5675=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5676=CARTESIAN_POINT('',(-89.0453333437664,199.763188461513,0.)); #5677=CARTESIAN_POINT('',(-89.0453333437664,199.763188461513,0.)); #5678=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5679=CARTESIAN_POINT('',(-85.5257979940874,202.716429275343,0.)); #5680=CARTESIAN_POINT('',(-85.5257979940874,202.716429275343,0.)); #5681=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5682=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5683=CARTESIAN_POINT('',(-73.9425827110768,198.50048369523,0.)); #5684=CARTESIAN_POINT('',(-73.9425827110768,198.50048369523,0.)); #5685=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5686=CARTESIAN_POINT('',(-73.1447688176455,193.975856265975,0.)); #5687=CARTESIAN_POINT('',(-73.1447688176455,193.975856265975,0.)); #5688=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5689=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5690=CARTESIAN_POINT('',(-74.6716056477968,199.763188461513,0.)); #5691=CARTESIAN_POINT('',(-74.6716056477968,199.763188461513,0.)); #5692=CARTESIAN_POINT('',(-78.1911409974757,202.716429275343,0.)); #5693=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5694=CARTESIAN_POINT('',(-78.1911409974757,202.716429275343,0.)); #5695=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,1.7)); #5696=CARTESIAN_POINT('Origin',(-76.8064382797911,205.102922619353,0.)); #5697=CARTESIAN_POINT('',(-77.1307494170237,204.211885092929,0.)); #5698=CARTESIAN_POINT('',(-77.1307494170237,204.211885092929,0.)); #5699=CARTESIAN_POINT('',(-76.8064382797911,205.102922619353,0.)); #5700=CARTESIAN_POINT('',(-77.1340493662569,204.202818556925,0.)); #5701=CARTESIAN_POINT('',(-76.8064382797911,205.102922619353,0.)); #5702=CARTESIAN_POINT('Origin',(-75.7198478232751,202.087771938514,0.)); #5703=CARTESIAN_POINT('Origin',(-75.7198478232751,202.087771938514,0.)); #5704=CARTESIAN_POINT('Origin',(-81.8584694957816,204.322047506728,0.)); #5705=CARTESIAN_POINT('',(-79.4084694957816,205.029154287914,0.)); #5706=CARTESIAN_POINT('',(-79.4084694957816,205.029154287914,0.)); #5707=CARTESIAN_POINT('Origin',(-81.8584694957816,204.322047506728,0.)); #5708=CARTESIAN_POINT('Origin',(-79.4084694957816,205.029154287914,0.)); #5709=CARTESIAN_POINT('',(-79.0800879187232,205.931375255847,0.)); #5710=CARTESIAN_POINT('',(-79.0800879187232,205.931375255847,0.)); #5711=CARTESIAN_POINT('',(-79.0800879187232,205.931375255847,0.)); #5712=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5713=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5714=CARTESIAN_POINT('Origin',(-81.8584694957816,204.322047506728,0.)); #5715=CARTESIAN_POINT('',(-84.3084694957816,205.029154287914,0.)); #5716=CARTESIAN_POINT('Origin',(-81.8584694957816,204.322047506728,0.)); #5717=CARTESIAN_POINT('',(-84.3084694957816,205.029154287914,0.)); #5718=CARTESIAN_POINT('Origin',(-87.997091168288,202.087771938514,0.)); #5719=CARTESIAN_POINT('',(-86.5861895745394,204.211885092929,0.)); #5720=CARTESIAN_POINT('',(-86.5861895745394,204.211885092929,0.)); #5721=CARTESIAN_POINT('Origin',(-87.997091168288,202.087771938514,0.)); #5722=CARTESIAN_POINT('Origin',(-86.5861895745394,204.211885092929,0.)); #5723=CARTESIAN_POINT('',(-86.910500711772,205.102922619353,0.)); #5724=CARTESIAN_POINT('',(-86.910500711772,205.102922619353,0.)); #5725=CARTESIAN_POINT('',(-86.910500711772,205.102922619353,0.)); #5726=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5727=CARTESIAN_POINT('',(-84.6368510728399,205.931375255847,0.)); #5728=CARTESIAN_POINT('',(-84.6368510728399,205.931375255847,0.)); #5729=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5730=CARTESIAN_POINT('Origin',(-84.6368510728399,205.931375255847,0.)); #5731=CARTESIAN_POINT('',(-84.3084694957816,205.029154287914,0.)); #5732=CARTESIAN_POINT('Origin',(-90.129012101923,189.997047506728,0.)); #5733=CARTESIAN_POINT('',(-89.5163845376187,187.521731876863,0.)); #5734=CARTESIAN_POINT('Origin',(-90.129012101923,189.997047506728,0.)); #5735=CARTESIAN_POINT('',(-89.5163845376187,187.521731876863,0.)); #5736=CARTESIAN_POINT('Origin',(-85.1247618645417,185.797982978222,0.)); #5737=CARTESIAN_POINT('',(-87.6697486136518,185.957803023441,0.)); #5738=CARTESIAN_POINT('',(-87.6697486136518,185.957803023441,0.)); #5739=CARTESIAN_POINT('Origin',(-85.1247618645417,185.797982978222,0.)); #5740=CARTESIAN_POINT('Origin',(-87.6697486136518,185.957803023441,0.)); #5741=CARTESIAN_POINT('',(-88.2792541786442,185.231422576656,0.)); #5742=CARTESIAN_POINT('',(-88.2792541786442,185.231422576656,0.)); #5743=CARTESIAN_POINT('',(-88.2792541786442,185.231422576656,0.)); #5744=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5745=CARTESIAN_POINT('',(-90.1335400271462,186.786234605029,0.)); #5746=CARTESIAN_POINT('',(-90.1335400271462,186.786234605029,0.)); #5747=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5748=CARTESIAN_POINT('Origin',(-90.1335400271462,186.786234605029,0.)); #5749=CARTESIAN_POINT('',(-89.5163845376187,187.521731876863,0.)); #5750=CARTESIAN_POINT('Origin',(-85.1247618645417,185.797982978222,0.)); #5751=CARTESIAN_POINT('',(-83.0643597062642,184.295570602686,0.)); #5752=CARTESIAN_POINT('Origin',(-85.1247618645417,185.797982978222,0.)); #5753=CARTESIAN_POINT('',(-83.0643597062642,184.295570602686,0.)); #5754=CARTESIAN_POINT('Origin',(-78.5921771270215,185.797982978222,0.)); #5755=CARTESIAN_POINT('',(-80.644480428835,184.284526286617,0.)); #5756=CARTESIAN_POINT('',(-80.644480428835,184.284526286617,0.)); #5757=CARTESIAN_POINT('Origin',(-78.5921771270215,185.797982978222,0.)); #5758=CARTESIAN_POINT('Origin',(-80.644480428835,184.284526286617,0.)); #5759=CARTESIAN_POINT('',(-80.644480428835,183.336303956554,0.)); #5760=CARTESIAN_POINT('',(-80.644480428835,183.336303956554,0.)); #5761=CARTESIAN_POINT('',(-80.644480428835,183.336303956554,0.)); #5762=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5763=CARTESIAN_POINT('',(-83.0643597062642,183.33544710275,0.)); #5764=CARTESIAN_POINT('',(-83.0643597062642,183.33544710275,0.)); #5765=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5766=CARTESIAN_POINT('Origin',(-83.0643597062642,183.33544710275,0.)); #5767=CARTESIAN_POINT('',(-83.0643597062642,184.295570602686,0.)); #5768=CARTESIAN_POINT('Origin',(-78.5921771270215,185.797982978222,0.)); #5769=CARTESIAN_POINT('',(-76.048085443448,185.971469304982,0.)); #5770=CARTESIAN_POINT('Origin',(-78.5921771270215,185.797982978222,0.)); #5771=CARTESIAN_POINT('',(-76.048085443448,185.971469304982,0.)); #5772=CARTESIAN_POINT('Origin',(-73.5879268896403,189.997047506728,0.)); #5773=CARTESIAN_POINT('',(-74.1872512204281,187.518477284498,0.)); #5774=CARTESIAN_POINT('',(-74.1872512204281,187.518477284498,0.)); #5775=CARTESIAN_POINT('Origin',(-73.5879268896403,189.997047506728,0.)); #5776=CARTESIAN_POINT('Origin',(-74.1872512204281,187.518477284498,0.)); #5777=CARTESIAN_POINT('',(-73.5777456554358,186.792096837712,0.)); #5778=CARTESIAN_POINT('',(-73.5777456554358,186.792096837712,0.)); #5779=CARTESIAN_POINT('',(-73.5777456554358,186.792096837712,0.)); #5780=CARTESIAN_POINT('Origin',(-81.8584694957817,194.772047506728,0.)); #5781=CARTESIAN_POINT('',(-75.4309299539206,185.235972033148,0.)); #5782=CARTESIAN_POINT('',(-75.4309299539206,185.235972033148,0.)); #5783=CARTESIAN_POINT('Origin',(-81.8584694957817,194.772047506728,0.)); #5784=CARTESIAN_POINT('Origin',(-75.4309299539206,185.235972033148,0.)); #5785=CARTESIAN_POINT('',(-76.048085443448,185.971469304982,0.)); #5786=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5787=CARTESIAN_POINT('',(-93.330722879238,193.973672716437,0.)); #5788=CARTESIAN_POINT('',(-93.330722879238,193.973672716437,0.)); #5789=CARTESIAN_POINT('',(-92.9096714162688,191.590705633773,0.)); #5790=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5791=CARTESIAN_POINT('',(-92.9096714162688,191.590705633773,0.)); #5792=CARTESIAN_POINT('Origin',(-93.330722879238,193.973672716437,0.)); #5793=CARTESIAN_POINT('',(-92.3851858126521,194.140396412536,0.)); #5794=CARTESIAN_POINT('',(-92.3851858126521,194.140396412536,0.)); #5795=CARTESIAN_POINT('',(-92.3851858126521,194.140396412536,0.)); #5796=CARTESIAN_POINT('Origin',(-91.2633835370482,196.430387603447,0.)); #5797=CARTESIAN_POINT('Origin',(-91.2633835370482,196.430387603447,0.)); #5798=CARTESIAN_POINT('Origin',(-90.129012101923,189.997047506728,0.)); #5799=CARTESIAN_POINT('',(-91.975854714044,191.755362713411,0.)); #5800=CARTESIAN_POINT('',(-91.975854714044,191.755362713411,0.)); #5801=CARTESIAN_POINT('Origin',(-90.129012101923,189.997047506728,0.)); #5802=CARTESIAN_POINT('Origin',(-91.975854714044,191.755362713411,0.)); #5803=CARTESIAN_POINT('',(-92.9096714162688,191.590705633773,0.)); #5804=CARTESIAN_POINT('Origin',(-73.5879268896402,189.997047506728,0.)); #5805=CARTESIAN_POINT('',(-71.7505544539445,191.765256355406,0.)); #5806=CARTESIAN_POINT('Origin',(-73.5879268896402,189.997047506728,0.)); #5807=CARTESIAN_POINT('',(-71.7505544539445,191.765256355406,0.)); #5808=CARTESIAN_POINT('Origin',(-72.453555454515,196.430387603447,0.)); #5809=CARTESIAN_POINT('',(-71.3194702991535,194.146454403813,0.)); #5810=CARTESIAN_POINT('',(-71.3194702991535,194.146454403813,0.)); #5811=CARTESIAN_POINT('Origin',(-72.453555454515,196.430387603447,0.)); #5812=CARTESIAN_POINT('Origin',(-71.3194702991535,194.146454403813,0.)); #5813=CARTESIAN_POINT('',(-70.3856535969286,193.981797324175,0.)); #5814=CARTESIAN_POINT('',(-70.3856535969286,193.981797324175,0.)); #5815=CARTESIAN_POINT('',(-70.3856535969286,193.981797324175,0.)); #5816=CARTESIAN_POINT('Origin',(-81.8584694957817,194.772047506728,0.)); #5817=CARTESIAN_POINT('',(-70.8050173873587,191.598532659307,0.)); #5818=CARTESIAN_POINT('',(-70.8050173873587,191.598532659307,0.)); #5819=CARTESIAN_POINT('Origin',(-81.8584694957817,194.772047506728,0.)); #5820=CARTESIAN_POINT('Origin',(-70.8050173873587,191.598532659307,0.)); #5821=CARTESIAN_POINT('',(-71.7505544539445,191.765256355406,0.)); #5822=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5823=CARTESIAN_POINT('',(-91.1599108733162,201.53467926517,0.)); #5824=CARTESIAN_POINT('',(-91.1599108733162,201.53467926517,0.)); #5825=CARTESIAN_POINT('',(-92.3691084548691,199.438573909922,0.)); #5826=CARTESIAN_POINT('Origin',(-81.8584694957815,194.772047506728,0.)); #5827=CARTESIAN_POINT('',(-92.3691084548691,199.438573909922,0.)); #5828=CARTESIAN_POINT('Origin',(-91.1599108733162,201.53467926517,0.)); #5829=CARTESIAN_POINT('',(-90.3284195316016,201.054617515202,0.)); #5830=CARTESIAN_POINT('',(-90.3284195316016,201.054617515202,0.)); #5831=CARTESIAN_POINT('',(-90.3284195316016,201.054617515202,0.)); #5832=CARTESIAN_POINT('Origin',(-87.997091168288,202.087771938514,0.)); #5833=CARTESIAN_POINT('Origin',(-87.997091168288,202.087771938514,0.)); #5834=CARTESIAN_POINT('Origin',(-91.2633835370482,196.430387603447,0.)); #5835=CARTESIAN_POINT('',(-91.5479238285993,198.964462744891,0.)); #5836=CARTESIAN_POINT('',(-91.5479238285993,198.964462744891,0.)); #5837=CARTESIAN_POINT('Origin',(-91.2633835370482,196.430387603447,0.)); #5838=CARTESIAN_POINT('Origin',(-91.5479238285993,198.964462744891,0.)); #5839=CARTESIAN_POINT('',(-92.3691084548691,199.438573909922,0.)); #5840=CARTESIAN_POINT('Origin',(-75.7198478232752,202.087771938514,0.)); #5841=CARTESIAN_POINT('',(-73.3830042299105,201.067153488676,0.)); #5842=CARTESIAN_POINT('',(-73.3830042299105,201.067153488676,0.)); #5843=CARTESIAN_POINT('Origin',(-75.7198478232752,202.087771938514,0.)); #5844=CARTESIAN_POINT('Origin',(-73.3830042299105,201.067153488676,0.)); #5845=CARTESIAN_POINT('',(-72.5618196036406,201.541264653707,0.)); #5846=CARTESIAN_POINT('',(-72.5618196036406,201.541264653707,0.)); #5847=CARTESIAN_POINT('',(-72.5618196036406,201.541264653707,0.)); #5848=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5849=CARTESIAN_POINT('',(-71.3511379077643,199.446016152264,0.)); #5850=CARTESIAN_POINT('',(-71.3511379077643,199.446016152264,0.)); #5851=CARTESIAN_POINT('Origin',(-81.8584694957816,194.772047506728,0.)); #5852=CARTESIAN_POINT('Origin',(-71.3511379077643,199.446016152264,0.)); #5853=CARTESIAN_POINT('',(-72.182629249479,198.965954402296,0.)); #5854=CARTESIAN_POINT('',(-72.182629249479,198.965954402296,0.)); #5855=CARTESIAN_POINT('',(-72.182629249479,198.965954402296,0.)); #5856=CARTESIAN_POINT('Origin',(-72.4535554545151,196.430387603447,0.)); #5857=CARTESIAN_POINT('Origin',(-72.4535554545151,196.430387603447,0.)); #5858=CARTESIAN_POINT('Origin',(-73.002027343007,199.887761547488,0.)); #5859=CARTESIAN_POINT('Origin',(-73.0020273430071,199.887761547488,1.7)); #5860=CARTESIAN_POINT('',(0.,0.,0.)); #5861=CARTESIAN_POINT('Origin',(-18.9050384593564,-15.4392761189949,0.)); #5862=CARTESIAN_POINT('',(-15.1550384593564,-15.4392761189949,-3.06161699786838E-16)); #5863=CARTESIAN_POINT('Origin',(-17.6550384593564,-15.4392761189949,0.)); #5864=CARTESIAN_POINT('Origin',(-17.6550384593564,-4.43927611899494,0.)); #5865=CARTESIAN_POINT('',(-15.1550384593564,6.56072388100506,-3.06161699786838E-16)); #5866=CARTESIAN_POINT('Origin',(-17.6550384593564,6.56072388100506,0.)); #5867=CARTESIAN_POINT('',(-15.1550384593564,-4.43927611899494,-3.06161699786838E-16)); #5868=CARTESIAN_POINT('Origin',(-18.9050384593564,6.56072388100506,0.)); #5869=CARTESIAN_POINT('',(0.,0.,0.)); #5870=CARTESIAN_POINT('',(-95.3417797621363,200.222918909488,8.56072388100505)); #5871=CARTESIAN_POINT('',(-95.6910369998608,190.280752478196,8.56072388100505)); #5872=CARTESIAN_POINT('',(-89.5678821705628,182.440112905939,8.56072388100505)); #5873=CARTESIAN_POINT('',(-79.8374074701568,180.369722587577,8.56072388100505)); #5874=CARTESIAN_POINT('',(-71.052610153143,185.038340162898,8.56072388100505)); #5875=CARTESIAN_POINT('',(-67.3239945162992,194.261467582504,8.56072388100505)); #5876=CARTESIAN_POINT('',(-70.3962212550515,203.723501023118,8.56072388100505)); #5877=CARTESIAN_POINT('',(-78.8317613343409,208.997049879089,8.56072388100505)); #5878=CARTESIAN_POINT('',(-88.6835318004826,207.614562031741,8.56072388100505)); #5879=CARTESIAN_POINT('',(0.,0.,0.)); #5880=CARTESIAN_POINT('Origin',(0.,0.,0.)); #5881=CARTESIAN_POINT('',(-5.5,7.1,8.69499227394621E-16)); #5882=CARTESIAN_POINT('Origin',(-5.5,0.,0.)); #5883=CARTESIAN_POINT('',(5.5,7.1,8.69499227394621E-16)); #5884=CARTESIAN_POINT('',(0.,7.1,8.69499227394621E-16)); #5885=CARTESIAN_POINT('Origin',(5.5,0.,0.)); #5886=CARTESIAN_POINT('Origin',(-5.5,-5.03042222760184E-16,2.05596856412066E-16)); #5887=CARTESIAN_POINT('',(-5.5,9.,1.10218211923262E-15)); #5888=CARTESIAN_POINT('Origin',(-5.5,0.,0.)); #5889=CARTESIAN_POINT('Origin',(0.,0.,0.)); #5890=CARTESIAN_POINT('',(5.5,9.,1.10218211923262E-15)); #5891=CARTESIAN_POINT('Origin',(5.5,0.,0.)); #5892=CARTESIAN_POINT('',(0.,9.,1.10218211923262E-15)); #5893=CARTESIAN_POINT('Origin',(5.5,-5.03042222760184E-16,2.05596856412066E-16)); #5894=CARTESIAN_POINT('',(0.,0.,0.)); #5895=CARTESIAN_POINT('Origin',(-8.80362344039767,0.,0.)); #5896=CARTESIAN_POINT('',(-9.80362344039767,-2.20436423846524E-15,18.)); #5897=CARTESIAN_POINT('Origin',(-9.80362344039767,0.,0.)); #5898=CARTESIAN_POINT('',(-8.80362344039767,-2.0818995585505E-15,17.)); #5899=CARTESIAN_POINT('Origin',(-8.80362344039767,-2.20436423846524E-15, 18.)); #5900=CARTESIAN_POINT('',(-8.80362344039767,-17.,-2.0818995585505E-15)); #5901=CARTESIAN_POINT('Origin',(-8.80362344039767,0.,0.)); #5902=CARTESIAN_POINT('Origin',(-8.80362344039767,0.,0.)); #5903=CARTESIAN_POINT('Origin',(-9.80362344039767,17.,0.)); #5904=CARTESIAN_POINT('',(-9.80362344039767,-2.2655965784226E-15,18.5)); #5905=CARTESIAN_POINT('Origin',(-9.80362344039767,0.,0.)); #5906=CARTESIAN_POINT('Origin',(-7.52681172019883,0.,0.)); #5907=CARTESIAN_POINT('',(-5.25,-17.,-2.0818995585505E-15)); #5908=CARTESIAN_POINT('',(-5.25,-2.0818995585505E-15,17.)); #5909=CARTESIAN_POINT('Origin',(-5.25,0.,0.)); #5910=CARTESIAN_POINT('',(-7.52681172019883,-17.,-2.0818995585505E-15)); #5911=CARTESIAN_POINT('Origin',(-5.25,0.,0.)); #5912=CARTESIAN_POINT('Origin',(-10.8036234403977,0.,0.)); #5913=CARTESIAN_POINT('',(-10.8036234403977,-2.38806125833734E-15,19.5)); #5914=CARTESIAN_POINT('Origin',(-10.8036234403977,-2.2655965784226E-15, 18.5)); #5915=CARTESIAN_POINT('',(-10.8036234403977,-19.5,-2.38806125833734E-15)); #5916=CARTESIAN_POINT('Origin',(-10.8036234403977,0.,0.)); #5917=CARTESIAN_POINT('Origin',(-10.8036234403977,0.,0.)); #5918=CARTESIAN_POINT('Origin',(-13.0036234403977,0.,0.)); #5919=CARTESIAN_POINT('',(-15.2036234403977,-19.5,-2.38806125833734E-15)); #5920=CARTESIAN_POINT('',(-13.0036234403977,-19.5,-2.38806125833734E-15)); #5921=CARTESIAN_POINT('',(-15.2036234403977,-2.38806125833734E-15,19.5)); #5922=CARTESIAN_POINT('Origin',(-15.2036234403977,0.,0.)); #5923=CARTESIAN_POINT('Origin',(-15.2036234403977,0.,0.)); #5924=CARTESIAN_POINT('Origin',(-17.2036234403977,0.,0.)); #5925=CARTESIAN_POINT('',(-17.2036234403977,-17.,-2.0818995585505E-15)); #5926=CARTESIAN_POINT('',(-17.2036234403977,-2.0818995585505E-15,17.)); #5927=CARTESIAN_POINT('Origin',(-17.2036234403977,0.,0.)); #5928=CARTESIAN_POINT('',(-16.2036234403977,-2.20436423846524E-15,18.)); #5929=CARTESIAN_POINT('Origin',(-17.2036234403977,-2.20436423846524E-15, 18.)); #5930=CARTESIAN_POINT('Origin',(-16.2036234403977,0.,0.)); #5931=CARTESIAN_POINT('Origin',(-17.2036234403977,0.,0.)); #5932=CARTESIAN_POINT('Origin',(-16.2036234403977,19.5,0.)); #5933=CARTESIAN_POINT('',(-16.2036234403977,-2.2655965784226E-15,18.5)); #5934=CARTESIAN_POINT('Origin',(-16.2036234403977,0.,0.)); #5935=CARTESIAN_POINT('Origin',(-18.4768117201988,0.,0.)); #5936=CARTESIAN_POINT('',(-20.75,-17.,-2.0818995585505E-15)); #5937=CARTESIAN_POINT('',(-18.4768117201988,-17.,-2.0818995585505E-15)); #5938=CARTESIAN_POINT('',(-20.75,-2.0818995585505E-15,17.)); #5939=CARTESIAN_POINT('Origin',(-20.75,0.,0.)); #5940=CARTESIAN_POINT('Origin',(-20.75,0.,0.)); #5941=CARTESIAN_POINT('Origin',(-15.2036234403977,0.,0.)); #5942=CARTESIAN_POINT('Origin',(-15.2036234403977,-2.2655965784226E-15, 18.5)); #5943=CARTESIAN_POINT('Origin',(-25.5,0.,0.)); #5944=CARTESIAN_POINT('',(-26.,13.075,-1.60122568988516E-15)); #5945=CARTESIAN_POINT('Origin',(-26.,0.,0.)); #5946=CARTESIAN_POINT('',(-25.,12.075,1.47876100997043E-15)); #5947=CARTESIAN_POINT('',(-25.5,12.575,-1.5399933499278E-15)); #5948=CARTESIAN_POINT('',(-25.,-12.075,-1.47876100997043E-15)); #5949=CARTESIAN_POINT('Origin',(-25.,0.,0.)); #5950=CARTESIAN_POINT('Origin',(-25.,0.,0.)); #5951=CARTESIAN_POINT('Origin',(-13.,0.,0.)); #5952=CARTESIAN_POINT('',(-1.,12.075,-1.47876100997043E-15)); #5953=CARTESIAN_POINT('',(-1.,-12.075,-1.47876100997043E-15)); #5954=CARTESIAN_POINT('Origin',(-1.,0.,0.)); #5955=CARTESIAN_POINT('Origin',(-1.,0.,0.)); #5956=CARTESIAN_POINT('',(-13.,-12.075,-1.47876100997043E-15)); #5957=CARTESIAN_POINT('Origin',(-26.,17.,0.)); #5958=CARTESIAN_POINT('',(-26.,-17.,-2.0818995585505E-15)); #5959=CARTESIAN_POINT('Origin',(-26.,0.,0.)); #5960=CARTESIAN_POINT('Origin',(-0.5,0.,0.)); #5961=CARTESIAN_POINT('',(0.,13.075,1.60122568988516E-15)); #5962=CARTESIAN_POINT('',(-0.5,12.575,-1.5399933499278E-15)); #5963=CARTESIAN_POINT('Origin',(0.,0.,0.)); #5964=CARTESIAN_POINT('Origin',(0.,12.075,0.)); #5965=CARTESIAN_POINT('',(0.,-17.,-2.0818995585505E-15)); #5966=CARTESIAN_POINT('Origin',(0.,0.,0.)); #5967=CARTESIAN_POINT('Origin',(-0.25,0.,0.)); #5968=CARTESIAN_POINT('',(-0.5,-17.,-2.0818995585505E-15)); #5969=CARTESIAN_POINT('',(-0.25,-17.,-2.0818995585505E-15)); #5970=CARTESIAN_POINT('Origin',(-0.5,0.,0.)); #5971=CARTESIAN_POINT('Origin',(-25.75,0.,0.)); #5972=CARTESIAN_POINT('',(-25.5,-17.,-2.0818995585505E-15)); #5973=CARTESIAN_POINT('Origin',(-25.5,0.,0.)); #5974=CARTESIAN_POINT('',(-25.75,-17.,-2.0818995585505E-15)); #5975=CARTESIAN_POINT('Origin',(-25.5,22.,0.)); #5976=CARTESIAN_POINT('',(-25.5,-22.,-2.69422295812418E-15)); #5977=CARTESIAN_POINT('Origin',(-25.5,0.,0.)); #5978=CARTESIAN_POINT('Origin',(-24.375,0.,0.)); #5979=CARTESIAN_POINT('',(-23.25,-22.,-2.69422295812418E-15)); #5980=CARTESIAN_POINT('',(-23.25,-2.69422295812418E-15,22.)); #5981=CARTESIAN_POINT('Origin',(-23.25,0.,0.)); #5982=CARTESIAN_POINT('',(-24.375,-22.,-2.69422295812418E-15)); #5983=CARTESIAN_POINT('Origin',(-23.25,0.,0.)); #5984=CARTESIAN_POINT('Origin',(-23.25,0.,0.)); #5985=CARTESIAN_POINT('',(-21.75,-2.51052593825207E-15,20.5)); #5986=CARTESIAN_POINT('Origin',(-21.75,0.,0.)); #5987=CARTESIAN_POINT('Origin',(-23.25,-2.51052593825208E-15,20.5)); #5988=CARTESIAN_POINT('Origin',(-21.75,18.,0.)); #5989=CARTESIAN_POINT('',(-21.75,-2.20436423846524E-15,18.)); #5990=CARTESIAN_POINT('Origin',(-21.75,0.,0.)); #5991=CARTESIAN_POINT('Origin',(-20.75,0.,0.)); #5992=CARTESIAN_POINT('Origin',(-20.75,-2.20436423846524E-15,18.)); #5993=CARTESIAN_POINT('Origin',(-5.25,0.,0.)); #5994=CARTESIAN_POINT('',(-4.25,-2.20436423846524E-15,18.)); #5995=CARTESIAN_POINT('Origin',(-5.25,-2.20436423846524E-15,18.)); #5996=CARTESIAN_POINT('Origin',(-4.25,0.,0.)); #5997=CARTESIAN_POINT('Origin',(-4.25,20.5,0.)); #5998=CARTESIAN_POINT('',(-4.25,-2.51052593825207E-15,20.5)); #5999=CARTESIAN_POINT('Origin',(-4.25,0.,0.)); #6000=CARTESIAN_POINT('Origin',(-2.75,0.,0.)); #6001=CARTESIAN_POINT('',(-2.75,-22.,-2.69422295812418E-15)); #6002=CARTESIAN_POINT('',(-2.75,-2.69422295812418E-15,22.)); #6003=CARTESIAN_POINT('Origin',(-2.75,0.,0.)); #6004=CARTESIAN_POINT('Origin',(-2.75,0.,0.)); #6005=CARTESIAN_POINT('Origin',(-2.75,-2.51052593825207E-15,20.5)); #6006=CARTESIAN_POINT('Origin',(-1.625,0.,0.)); #6007=CARTESIAN_POINT('',(-0.5,-22.,-2.69422295812418E-15)); #6008=CARTESIAN_POINT('Origin',(-0.5,0.,0.)); #6009=CARTESIAN_POINT('',(-1.625,-22.,-2.69422295812418E-15)); #6010=CARTESIAN_POINT('Origin',(-0.5,17.,0.)); #6011=CARTESIAN_POINT('',(18.1155977759605,2.5,6.57181595063592)); #6012=CARTESIAN_POINT('',(-18.1155977759605,-29.7,6.57181595063591)); #6013=CARTESIAN_POINT('',(-42.3674214612148,-11.5880958528789,-258.885126460493)); #6014=CARTESIAN_POINT('',(36.,-13.6,17.5)); #6015=CARTESIAN_POINT('',(-36.,-13.6,17.5)); #6016=CARTESIAN_POINT('',(6.10622663543835E-15,-13.6,14.5)); #6017=CARTESIAN_POINT('',(107.537763338099,-26.6,172.528610308067)); #6018=CARTESIAN_POINT('',(-107.5377633381,-0.600000000000008,172.528610308067)); #6019=CARTESIAN_POINT('',(5.50355349631205E-15,-6.10000000000001,14.5)); #6020=CARTESIAN_POINT('',(1.90368817659322E-14,-21.1000000000001,14.5)); #6021=CARTESIAN_POINT('',(36.,-26.6,17.5)); #6022=CARTESIAN_POINT('',(-36.,-0.599999999999996,17.5)); #6023=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6024=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6025=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6026=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6027=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6028=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6029=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6030=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6031=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6032=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#6043, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #6033=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6023)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6034=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6024)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6035=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6025)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6036=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6026)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6037=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6027)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6038=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6028)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6039=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6029)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6040=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6030)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6041=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6031)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6042=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6032)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#6043,#6045,#6046)) REPRESENTATION_CONTEXT('','3D') ); #6043=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #6044=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #6045=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #6046=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #6047=SHAPE_DEFINITION_REPRESENTATION(#6056,#6087); #6048=SHAPE_DEFINITION_REPRESENTATION(#6057,#6088); #6049=SHAPE_DEFINITION_REPRESENTATION(#6058,#6089); #6050=SHAPE_DEFINITION_REPRESENTATION(#6059,#6090); #6051=SHAPE_DEFINITION_REPRESENTATION(#6060,#6091); #6052=SHAPE_DEFINITION_REPRESENTATION(#6061,#6092); #6053=SHAPE_DEFINITION_REPRESENTATION(#6062,#6093); #6054=SHAPE_DEFINITION_REPRESENTATION(#6073,#6094); #6055=SHAPE_DEFINITION_REPRESENTATION(#6074,#6095); #6056=PRODUCT_DEFINITION_SHAPE('',$,#6097); #6057=PRODUCT_DEFINITION_SHAPE('',$,#6098); #6058=PRODUCT_DEFINITION_SHAPE('',$,#6099); #6059=PRODUCT_DEFINITION_SHAPE('',$,#6100); #6060=PRODUCT_DEFINITION_SHAPE('',$,#6101); #6061=PRODUCT_DEFINITION_SHAPE('',$,#6102); #6062=PRODUCT_DEFINITION_SHAPE('',$,#6103); #6063=PRODUCT_DEFINITION_SHAPE($,$,#85); #6064=PRODUCT_DEFINITION_SHAPE($,$,#86); #6065=PRODUCT_DEFINITION_SHAPE($,$,#87); #6066=PRODUCT_DEFINITION_SHAPE($,$,#88); #6067=PRODUCT_DEFINITION_SHAPE($,$,#89); #6068=PRODUCT_DEFINITION_SHAPE($,$,#90); #6069=PRODUCT_DEFINITION_SHAPE($,$,#91); #6070=PRODUCT_DEFINITION_SHAPE($,$,#92); #6071=PRODUCT_DEFINITION_SHAPE($,$,#93); #6072=PRODUCT_DEFINITION_SHAPE($,$,#94); #6073=PRODUCT_DEFINITION_SHAPE('',$,#6104); #6074=PRODUCT_DEFINITION_SHAPE('',$,#6105); #6075=PRODUCT_DEFINITION_SHAPE($,$,#95); #6076=PRODUCT_DEFINITION_SHAPE($,$,#96); #6077=PRODUCT_DEFINITION_SHAPE($,$,#97); #6078=PRODUCT_DEFINITION_SHAPE($,$,#98); #6079=PRODUCT_DEFINITION_SHAPE($,$,#99); #6080=PRODUCT_DEFINITION_SHAPE($,$,#100); #6081=PRODUCT_DEFINITION_SHAPE($,$,#101); #6082=PRODUCT_DEFINITION_SHAPE($,$,#102); #6083=PRODUCT_DEFINITION_SHAPE($,$,#103); #6084=PRODUCT_DEFINITION_SHAPE($,$,#104); #6085=PRODUCT_DEFINITION_SHAPE($,$,#105); #6086=PRODUCT_DEFINITION_SHAPE($,$,#106); #6087=SHAPE_REPRESENTATION('',(#3525,#3937,#3938,#3939,#3940,#3941,#3942, #3943,#3944,#3945,#3946,#3947,#3948),#6033); #6088=SHAPE_REPRESENTATION('',(#3526),#6034); #6089=SHAPE_REPRESENTATION('',(#3587),#6035); #6090=SHAPE_REPRESENTATION('',(#3654),#6036); #6091=SHAPE_REPRESENTATION('',(#3682,#3847,#3848,#3849,#3850,#3851,#3852, #3853,#3854,#3855,#3856),#6037); #6092=SHAPE_REPRESENTATION('',(#3683),#6038); #6093=SHAPE_REPRESENTATION('',(#3841),#6039); #6094=SHAPE_REPRESENTATION('',(#3857),#6040); #6095=SHAPE_REPRESENTATION('',(#3866),#6041); #6096=DESIGN_CONTEXT('part definition',#6125,'design'); #6097=PRODUCT_DEFINITION('251 A','0251A_A',#6106,#6096); #6098=PRODUCT_DEFINITION('14592','14592',#6107,#6096); #6099=PRODUCT_DEFINITION('16207','16207',#6108,#6096); #6100=PRODUCT_DEFINITION('11002 MONT','11002 MONT',#6109,#6096); #6101=PRODUCT_DEFINITION('CAG5','CAG5',#6110,#6096); #6102=PRODUCT_DEFINITION('15018','15018',#6111,#6096); #6103=PRODUCT_DEFINITION('15657','15657',#6112,#6096); #6104=PRODUCT_DEFINITION('05045','05045',#6113,#6096); #6105=PRODUCT_DEFINITION('AS606-A-NT','AS606-A-NT',#6114,#6096); #6106=PRODUCT_DEFINITION_FORMATION('',$,#6127); #6107=PRODUCT_DEFINITION_FORMATION('',$,#6128); #6108=PRODUCT_DEFINITION_FORMATION('',$,#6129); #6109=PRODUCT_DEFINITION_FORMATION('',$,#6130); #6110=PRODUCT_DEFINITION_FORMATION('',$,#6131); #6111=PRODUCT_DEFINITION_FORMATION('',$,#6132); #6112=PRODUCT_DEFINITION_FORMATION('',$,#6133); #6113=PRODUCT_DEFINITION_FORMATION('',$,#6134); #6114=PRODUCT_DEFINITION_FORMATION('',$,#6135); #6115=PRODUCT_RELATED_PRODUCT_CATEGORY('0251A_A','0251A_A',(#6127)); #6116=PRODUCT_RELATED_PRODUCT_CATEGORY('14592','14592',(#6128)); #6117=PRODUCT_RELATED_PRODUCT_CATEGORY('16207','16207',(#6129)); #6118=PRODUCT_RELATED_PRODUCT_CATEGORY('11002 MONT','11002 MONT',(#6130)); #6119=PRODUCT_RELATED_PRODUCT_CATEGORY('CAG5','CAG5',(#6131)); #6120=PRODUCT_RELATED_PRODUCT_CATEGORY('15018','15018',(#6132)); #6121=PRODUCT_RELATED_PRODUCT_CATEGORY('15657','15657',(#6133)); #6122=PRODUCT_RELATED_PRODUCT_CATEGORY('05045','05045',(#6134)); #6123=PRODUCT_RELATED_PRODUCT_CATEGORY('AS606-A-NT','AS606-A-NT',(#6135)); #6124=APPLICATION_PROTOCOL_DEFINITION('international standard', 'ap203_configuration_controlled_3d_design_of_mechanical_parts_and_asse mblies_mim_lf',2004,#6125); #6125=APPLICATION_CONTEXT( 'Configuration Controlled 3D Design of Mechanical Parts and Assemblies'); #6126=MECHANICAL_CONTEXT('part definition',#6125,'mechanical'); #6127=PRODUCT('251 A','0251A_A',$,(#6126)); #6128=PRODUCT('14592','14592',$,(#6126)); #6129=PRODUCT('16207','16207',$,(#6126)); #6130=PRODUCT('11002 MONT','11002 MONT',$,(#6126)); #6131=PRODUCT('CAG5','CAG5','Cage n\X\B05 mont\X\E9e',(#6126)); #6132=PRODUCT('15018','15018',$,(#6126)); #6133=PRODUCT('15657','15657',$,(#6126)); #6134=PRODUCT('05045','05045',$,(#6126)); #6135=PRODUCT('AS606-A-NT','AS606-A-NT',$,(#6126)); ENDSEC; END-ISO-10303-21;